thanks 4 your coming....!!!!!!


come back more....yups
SELAMAT DATANG DI DUNIA HANDOKO salam kenal..............!!!!!!!!!!! keep your self,,and be the best person!!!!!!

Jika cinta memang benar ada,,kuingin melihat bukti kekuatan cinta,jika jodoh adalah haq manusia,kuingin melihat bukti keagungan cinta,,tak henti kuberharap untuk memperjuangkan cinta,,namun tak seakan aku dapatkan bukti,tak seindah dlu,tak sebahagia dlu,,tiap hariku adalah limpahan cinta,kash sayang,tak henti kudapat menatap indah wajahnya,tak henti kutertawa bahagia dengannya,,bahkan aku tak rela jika dia disakiti,tak rela aku melihat kucuran air matanya,,bahkan aku rela menyakiti perasaanku demi orang yang kukasihi,,ketika dekat dengannya,hidup ku sangat semarak,penuh warna,namun kini,menjumpai sosok sepertinya pun tak pernah,,sebenarny aku ingin melupakanya!tapi apa?hati ku tak bisa berbohong,cintaku sudah terlanjur agung,tak mudah kulupakanya,,setiap moment selalu mengingatkanku padanya,dia yg sangat kusayangi,kini teramat jauh,,aku harap cinta murniku adalah dia,jodoh sejatiku adalah dia,,kuingin habiskan hidupku bersamanya,dengan orang yg kucinta

ಉಂಟೈ ನ್ಲಾರ್ kombinasi

BAB V
UNTAI NALAR KOMBINATORIAL


 Sistem nalar kombinatorial adalah sistem nalar yang keluaran dari untai nalarnya pada suatu saat hanya tergantung pada harga masukan pada saat itu (kondisi output ditentukan oleh kondisi input pada saat itu juga).

 Sistem nalar sekuensial adalah sistem nalar yang keluaran dari untai nalarnya pada suatu saat selain tergantung pada masukan saat itu juga tergantung harga masukan pada saat-saat sebelumnya (memerlukan ingatan atau memori).

 Dalam merancang sistem nalar kombinatorial perlu diperhatikan tahapan-tahapan berikut ini :

• Menyatakan persoalan sistem (fungsi).
• Menentukan banyaknya variabel masukan dan keluaran.
• Melambangkan setiap variabel masukan dan keluaran.
• Menyusun tabel kebenaran yang mendefinisikan hubungan antara masukan dan keluaran.
• Menyusun fungsi Boole yang paling sederhana yang mendefinisikan tabel kebenaran.
• Menggambarkan diagram nalarnya.

 Dalam menyederhanakan fungsi Boole perlu dipertimbangkan :

1. Jumlah gerbang diusahakan sesedikit mungkin.
2. Jumlah masukan ke suatu gerbang juga diusahakan sesedikit mungkin.
3. Waktu yang diperlukan sinyal untuk mengalir sepanjang rangkaian sesingkat mungkin.
4. Interkoneksi perlu sesedikit mungkin.


I. PENJUMLAH ( ADDER )

1. Half Adder
2. Full Adder
3. Paralel Adder

 Half Adder

• Suatu untai nalar kombinatorial yang dapat melakukan penjumlahan bilangan biner 1 bit. Ada 2 masukan dan 2 keluaran. 1 Bit dipakai untuk hasil penjumlahan (SUM) sedang yang lain dipakai untuk simpanan (CARRY).

• Penjumlahan ini belum mengikutsertakan simpanan dari bit sebelumnya, karena itu disebut : Half Adder.

• Simbol dari Half Adder :



• Tabel Kebenaran Half Adder :

A B S C
0
0
1
1 0
1
0
1 0
1
1
0 0
0
0
1


EX – OR


• Peta Karnaugh untuk Sum (S) dan Carry (C)





• Rangkaian Nalar :




 Full Adder

• Terdiri dari 3 bit masukan dan 2 bit keluaran
• Pada Full Adder sudah diikutsertakan Carry (Simpanan) dari bit sebelumnya, hal ini menyebabkan masukan pada Full Adder menjadi 3 bit.
• Simbol dari Full Adder :



• Tabel Kebenaran Full Adder:
A B Cn-1 S Cn
0 0 0 0 0
0 0 1 1 0
0 1 0 1 0
0 1 1 0 1
1 0 0 1 0
1 0 1 0 1
1 1 0 0 1
1 1 1 1 1

• Peta Karnaugh :




• Rangkaian Logika :



 Penjumlahan Biner Secara Paralel ( Paralel Adder )

• Dapat menjumlahkan lebih dari 1 bit
• Beberapa buah FA dapat disusun sedemikan rupa ( paralel ) sehingga menjadi penjumlah multibit dengan menghubungkan Cn ( Keluaran ) dari bit ke satu ke Cn ( masukan ) dari bit kedua , dan seterusnya.

C3 C2 C1 C0 C-1 = 0 ( Selalu C-1 = 0)
A3 A2 A1 A0
B3 B2 B1 B0 +
C3 S3 S2 S1 S0

Contoh : 1 1 1 1 0  carry = 0 (selalu)
1 0 1 1
0 1 1 1 +
1 0 0 1 0


• Blok Diagram :




II. PEMBANDING ( COMPARATOR )

 Rangkaian ini digunakan untuk membandingkan dua informasi atau data, misalkan A dan B yang masing- masing terdiri dari 1 bit atau lebih.
 Pembanding 1 bit biasanya mempunyai 1 keluaran yang harganya akan “1“ jika masukannya berbeda, yang disebut dengan Non Equality Comparator yang untai logikanya berupa Exclusive OR ( EX-OR )

• Tabel Kebenaran :

A B Y
0 0 0
0 1 1
1 0 1
1 1 0


• Peta Karnaugh :











• Rangkaian Nalar :










 Pembanding yang keluarannya akan “1” jika masukannya sama, disebut : Equality Comparator ( EX – NOR )


• Tabel Kebenaran :

A B Y
0 0 1
0 1 0
1 0 0
1 1 1





• Peta Karnaugh :











• Rangkaian Nalar :











III. DEKODER DAN ENKODER

DEKODER

• Suatu Untai kombinatorial yang informasi atau datanya disajikan dalam bentuk kode/sandi.
• Dekoder dengan n variabel masukan biner dapat memiliki m variabel keluaran; dimana m<=2n.
• Tersusun atas gerbang-gerbang AND.

• Contoh :
Dekoder Binary to Octal Decoder memiliki 3 bit masukan biner dan 8 bit keluaran yang masing-masing mewakili satu bilangan octal.

• Tabel Kebenaran Binary to Octal Decoder :

MASUKAN KELUARAN
( n input ) ( m output)
A B C O0 O1 O2 O3 O4 O5 O6 O7
0 0 0 1 0 0 0 0 0 0 0
0 0 1 0 1 0 0 0 0 0 0
0 1 0 0 0 1 0 0 0 0 0
0 1 1 0 0 0 1 0 0 0 0
1 0 0 0 0 0 0 1 0 0 0
1 0 1 0 0 0 0 0 1 0 0
1 1 0 0 0 0 0 0 0 1 0
1 1 1 0 0 0 0 0 0 0 1





• Persamaan Logika :

O0 = M0 =



O1 = M1 =

C
O2 = M2 =
B

O3 = M3 =
B C
O4 = M4 = A


O5 = M5 = A
C
O6 = M6 = A B

O7 = M7 = A B C

• Rangkaian Nalar :















ENCODER

• Suatu untai kombinatorial yang informasi atau datanya disajikan dalam bentuk kode/sandi dengan m variabel masukan dan n keluaran, dimana m <= 2n
• Encoder tersusun atas gerbang-gerbang OR
• Contoh :
Octal to Binary Encoder ( Memiliki 8 bit masukan dan 3 bit keluaran )

• Tabel Kebenaran Octal To Binary Encoder :

MASUKAN KELUARAN
( m input) ( n output )
I0 I1 I2 I3 I4 I5 I6 I7 X Y Z
1 0 0 0 0 0 0 0 0 0 0
0 1 0 0 0 0 0 0 0 0 1
0 0 1 0 0 0 0 0 0 1 0
0 0 0 1 0 0 0 0 0 1 1
0 0 0 0 1 0 0 0 1 0 0
0 0 0 0 0 1 0 0 1 0 1
0 0 0 0 0 0 1 0 1 1 0
0 0 0 0 0 0 0 1 1 1 1





• Persamaan Logika :

X = I4 + I5 + I6 + I7

Y = I2 + I3 + I6 + I7

Z = I1 + I3 + I5 + I7

• Rangkaian Nalar :























IV. PENGUBAH SANDI ( CODE CONVERTER )

• Adalah suatu untai nalar kombinatorial yang mampu menerima suatu sandi biner tertentu ( A ) dan mengubahnya menjadi sandi biner yang lain ( B ) yang dapat di mengerti oleh piranti yang menggunakan Sandi Biner B.

BCD ( 8421 ) To Exess-Three Converter

• Mempunyai 4 bit masukan dan 4 bit keluaran.










BCD ( 8421 ) to Exess-Three Converter

 Tabel Kebenaran BCD (8421) to EX-3

DES MASUKAN ( BCD ) KELUARAN ( EX – 3 )
A B C D W X Y Z
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15


 Peta Karnaugh












 Persamaan Logika

W =

X =

Y =

Z =


 Rangkaian Nalar






BCD ( 8421 ) To Seven Segment Converter

Gambar Seven Segment Dan Bagiannya

Seven Segment adalah led penampil nilai angka, huruf, dan simbol. Seperti terlihat pada gambar, setiap huruf (a, b, c, d, e, f, g) akan mewakili sebuah led yang akan menyala.

Contoh :

Angka 1 : b dan c akan hidup
Angka 2 : a, b, g, e, d akan hidup
Angka 8 : a, b, c, d, e, f, g atau semua akan hidup
Huruf A : a, b, c, e, f, g atau kecuali d akan hidup
Huruf C : a, d, e, f akan hidup
• Mempunyai 4 bit masukan dan 7 bit keluaran.

 Tabel Kebenaran BCD ( 8421 ) TO SEVEN SEGMENT

BCD (8421) SEVEN SEGMENT
DES W X Y Z a b c d e f g
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15


 Peta Karnaugh




 Persamaan Logika

a =


b =


c =


d =


e =


f =


g =





 Rangkaian Nalar


VI. MULTIPLEXER DAN DEMUPLEXER

 Multiplexer
Merupakan Untai nalar kombinasi yang fungsinya untuk memilih satu sinyal masukan dari beberapa saluran masukan, selanjutnya sinyal masukan yang sudah dipilih di teruskan ke saluran keluarannya.
• Output :

Output = I0  S1 = 0 S0 = 0
Output = I1  S1 = 0 S0 = 1
Output = I2  S1 = 1 S0 = 0
Output = I3  S1 = 1 S0 = 1

• Rangkaian Nalar :














 Demultiplexter
Suatu untai nalar kombinatorial yang fungsinya berbalikan dengan multiplexter, yaitu menentukan sinyal masukan ke salah satu dari sejumlah saluran keluaran yang sudah dipilih.

• Rangkaian Nalar :














• Output :

O0 = I
O1 = I S0
O2 = I S1
O3 = I S1 S0
LATIHAN - 1

Gambarkan untai logika untuk converter :

1. BCD (5421) ke BCD (8421)

2. BCD (8421) ke BCD (6311)



Jawaban Latihan :

BCD ( 5421 ) to BCD (8421) Converter

 Tabel Kebenaran BCD (5421) to BCD (8421)

DES MASUKAN ( BCD - 5421 ) KELUARAN ( BCD - 8421 )
A B C D W X Y Z
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15

 Peta Karnaugh












 Persamaan Logika

W =

X =

Y =

Z =

 Rangkaian Nalar

ಕ-map

BAB IV
PETA KARNAUGH
(KARNAUGH MAPS)


PETA KARNAUGH

 Selain dengan teorema boole, salah satu cara untuk memanipulasi dan menyederhanakan fungsi boole adalah dengan teknik peta karnaugh.

 Peta karnaugh merupakan sekumpulan kotak-kotak yang diberi nama sedemikian rupa berdasarkan nama variabelnya dan diletakkan sedemikian rupa pula sehingga dapat mengeliminasi beberapa tabel jika kotak itu digabung. Jumlah kotak tergantung banyaknya variabel input. Jika ada sebanyak n input maka ada 2n kombinasi input, maka sebanyak itu pula kotak yang dibutuhkan.

 Dalam peta karnaugh dikenal istilah tetangga dekat. Yang dimaksud dengan tetangga dekat adalah kotak-kotak yang memiliki satu atau lebih variabel yang sama atau kotak-kotak yang terletak dalam satu atau lebih bidang yang sama.

 Yang dimaksud dengan bidang adalah sekumpulan kotak yang sudah diberi nama berdasarkan variabel inputnya.


Peta Karnaugh untuk 2 Variabel (A, B)

 Untuk 2 variabel input akan ada sebanyak 22 = 4 kombinasi input
- Maka banyaknya kotak yang dibutuhkan adalah 4 kotak.
- Keempat kotak itu diatur sebagai berikut :

A B 0 1
0 0 2
1 1 3


Penggabungan kotak-kotak untuk 2 variabel (A, B)
- Jika ada 2 kotak yang ditandai 1 bertetangga dekat dapat digabung, akan menyatakan 1 variabel tunggal.
- Untuk 1 kotak yang ditandai 1 dan tidak memiliki tetangga dekat, akan menyatakan 2 variabel.

Contoh :

y = B + A

BA
0 1
0 0 1 2
1 1 1 3

Menyatakan 1 tetangga

y = B + A B

BA
0 1
0
1 1 1

Menyatakan 2 tetangga sehingga dapat disederhanakan menjadi
y = B

y = + A + A B

BA
0 1
0 1 1
1 1

Menyatakan 2 buah 2 tetangga sehingga dapat disederhanakan menjadi
y = A +

LATIHAN - 1

1. Tentukan fungsi boole yang paling sederhana dari peta karnaugh berikut ini:
a)
BA
0 1
0 1
1 1

b)
BA
0 1
0 1
1 1
Peta Karnaugh untuk 3 Variabel (A, B, C)

 Untuk 3 variabel input akan ada sebanyak 23 = 8 kombinasi input
- Maka banyaknya kotak yang dibutuhkan adalah 8 kotak.
- Kedelapan kotak itu diatur (ada 2 cara) sebagai berikut :


AB
C 00 01 11 10 A BC 0 1
0 0 2 6 4 00 0 4
1 1 3 7 5 01 1 5
11 3 7
10 2 6

Penggabungan kotak-kotak untuk 3 variabel (A, B, C)
- 4 kotak yang bertetangga dekat dapat digabung dan menyatakan 1 variabel tunggal.
- 2 kotak yang bertetangga dekat dapat digabung dan menyatakan 2 variabel.
- 1 kotak yang tidak bertetangga dekat akan menyatakan 3 variabel

Contoh :

y = A B + B C + A B C + A C

CAB
00 01 11 10
0 1
1 1 1 1

Menyatakan 3 buah 2 tetangga sehingga dapat disederhanakan menjadi
y = AB + BC + AC

y = C + B C + A B C + A C

CAB
00 01 11 10
0
1 1 1 1 1

Menyatakan 4 tetangga sehingga dapat disederhanakan menjadi
y = C


y = B + B C + A B + A B C

CAB
00 01 11 10
0 1 1
1 1 1

Menyatakan 4 tetangga sehingga dapat disederhanakan menjadi
y = B

LATIHAN - 2

1. Tentukan fungsi boole yang paling sederhana dari peta karnaugh berikut ini:
a) b)
CAB
00 01 11 10 CAB
00 01 11 10
0 1 1 1 0 1 1 1
1 1 1 1 1 1 1



c) d)
CAB
00 01 11 10 CAB
00 01 11 10
0 1 0 1 1
1 1 1 1 1 1 1 1


Peta Karnaugh untuk 4 Variabel (A, B, C, D)

 Untuk 4 variabel input akan ada sebanyak 24 = 16 kombinasi input
- Maka banyaknya kotak yang dibutuhkan adalah 16 kotak.
- Keenambelas kotak itu diatur sebagai berikut :

AB
CD 00 01 11 10
00 0 4 12 8
01 1 5 13 9
11 3 7 15 11
10 2 6 14 10

Penggabungan kotak-kotak untuk 4 variabel (A, B, C, D)
- 8 kotak yang bertetangga dekat dapat digabung dan menyatakan 1 variabel tunggal.
- 4 kotak yang bertetangga dekat dapat digabung dan menyatakan 2 variabel tunggal.
- 2 kotak yang bertetangga dekat dapat digabung dan menyatakan 3 variabel.
- 1 kotak yang tidak bertetangga dekat akan menyatakan 4 variabel

Contoh :

y = A B C D + A B C + A C D + A C

CDAB
00 01 11 10
00
01
11 1 1
10 1 1

Menyatakan 4 tetangga sehingga dapat disederhanakan menjadi
y = A C

y = + A + C + A C

CDAB
00 01 11 10
00 1 1
01
11
10 1 1

Menyatakan 4 tetangga sehingga dapat disederhanakan menjadi
y =

y = B + A B + A B C D + A B C

CDAB
00 01 11 10
00 1 1
01 1 1
11 1 1
10 1 1

Menyatakan 8 tetangga sehingga dapat disederhanakan menjadi
y = B


LATIHAN - 3

1. Tentukan fungsi boole yang paling sederhana dari peta karnaugh berikut ini:
a) b)
CDAB
00 01 11 10 CDAB
00 01 11 10
00 1 1 1 00 1 1
01 1 1 1 01 1
11 1 1 11 1
10 1 1 10 1 1



Peta Karnaugh untuk 5 Variabel (A, B, C, D, E)

 Untuk 5 variabel input akan ada sebanyak 25 = 32 kombinasi input
- Maka banyaknya kotak yang dibutuhkan adalah 32 kotak.
- Ketigapuluh dua kotak itu diatur sebagai berikut :

ABC DE 000 001 011 010 100 101 111 110
ABC
DE
00 0 4 12 8 16 20 28 24 00
01 1 5 13 9 17 21 29 25 01
11 3 7 15 11 19 23 31 27 11
10 2 6 14 10 18 22 30 26 10


Penggabungan kotak-kotak untuk 5 variabel (A, B, C, D, E)
- 16 kotak yang bertetangga dekat dapat digabung dan menyatakan 1 variabel tunggal.
- 8 kotak yang bertetangga dekat dapat digabung dan menyatakan 2 variabel tunggal.
- 4 kotak yang bertetangga dekat dapat digabung dan menyatakan 3 variabel tunggal.
- 2 kotak yang bertetangga dekat dapat digabung dan menyatakan 4 variabel.
- 1 kotak yang tidak bertetangga dekat akan menyatakan 5 variabel


Contoh :

y = B + A B + D + A D

ABC DE 000 001 011 010 100 101 111 110
ABC
DE
00 1 1 1 1 00
01 1 1 1 1 01
11 1 1 1 1 11
10 1 1 1 1 10


Menyatakan 2 buah 8 tetangga sehingga dapat disederhanakan menjadi
y = B + D

y = B C + B + A B + A B D

ABC DE 000 001 011 010 100 101 111 110
ABC
DE
00 1 1 1 1 00
01 1 1 1 1 01
11 1 1 1 1 11
10 1 1 1 1 10


Menyatakan 16 tetangga sehingga dapat disederhanakan menjadi
y = B

LATIHAN - 4

1. Tentukan fungsi boole yang paling sederhana dari peta karnaugh berikut ini:
a)
ABC DE 000 001 011 010 100 101 111 110
ABC
DE
00 1 1 1 1 1 1 00
01 1 1 1 1 1 1 01
11 1 1 1 1 11
10 1 1 1 1 10




b)
ABC DE 000 001 011 010 100 101 111 110
ABC
DE
00 1 1 00
01 1 1 01
11 1 1 11
10 1 1 1 1 10




c)
ABC DE 000 001 011 010 100 101 111 110
ABC
DE
00 1 1 1 1 00
01 01
11 11
10 1 1 1 1 1 1 1 1 10


Peta Karnaugh untuk 6 Variabel (A, B, C, D, E, F)

 Untuk 6 variabel input akan ada sebanyak 26 = 64 kombinasi input
- Maka banyaknya kotak yang dibutuhkan adalah 64 kotak.
- Keenampuluh empat kotak itu diatur sebagai berikut :

ABCD EF 0000 0001 0011 0010 0100 0101 0111 0110 ABCD
EF
00 0 4 12 8 16 20 28 24 00
01 1 5 13 9 17 21 29 25 01
11 3 7 15 11 19 23 31 27 11
10 2 6 14 10 18 22 30 26 10

00 32 36 44 40 48 52 60 56 00
01 33 37 45 41 49 53 61 57 01
11 35 39 47 43 51 55 63 59 11
10 34 38 46 42 50 54 62 58 10
EF ABCD 1000 1001 1011 1010 1100 1101 1111 1110
EF ABCD




Penggabungan kotak-kotak untuk 6 variabel (A, B, C, D, E, F)
- 32 kotak yang bertetangga dekat dapat digabung dan menyatakan 1 variabel tunggal.
- 16 kotak yang bertetangga dekat dapat digabung dan menyatakan 2 variabel tunggal.
- 8 kotak yang bertetangga dekat dapat digabung dan menyatakan 3 variabel tunggal.
- 4 kotak yang bertetangga dekat dapat digabung dan menyatakan 4 variabel tunggal.
- 2 kotak yang bertetangga dekat dapat digabung dan menyatakan 5 variabel.
- 1 kotak yang tidak bertetangga dekat akan menyatakan 6 variabel

Contoh :
Sederhanakan fungsi boole berikut ini :
Y (A,B,C,D,E,F) =  m (0,9,11,24,25,27,34,35,38,39,43,47,51,55,58,59,62,63)

ABCD EF 0000 0001 0011 0010 0100 0101 0111 0110
ABCD
EF
00 0 4 12 8 16 20 28 24 00
01 1 5 13 9 17 21 29 25 01
11 3 7 15 11 19 23 31 27 11
10 2 6 14 10 18 22 30 26 10

00 32 36 44 40 48 52 60 56 00
01 33 37 45 41 49 53 61 57 01
11 35 39 47 43 51 55 63 59 11
10 34 38 46 42 50 54 62 58 10
EF ABCD 1000 1001 1011 1010 1100 1101 1111 1110
EF ABCD




Kelompok Berlebihan

Jika pengelompokan 1 tidak dilakukan secara hati – hati, maka ada kemungkinan kita membuat kelompok 1 yang tidak perlu. Pengelompokan yang berlebihan (redundan) ini menghasilkan fungsi Boolean dengan term yang tidak perlu. Hal ini ditunjukkan pada Contoh 1 berikut ini.

Contoh 1
(Kelompok berlebihan) Sederhanakan fungsi Boolean yang bersesuaian dengan Peta Karnaugh di bawah ini.



Penyelesaian :
Jika pengelompokannya adalah seperti Peta Karnaugh di atas, maka fungsi hasil penyederhanaan adalah

 masih belum disederhanakan

Kita dapat membuat fungsi yang lebih sederhana lagi dengan memperhatikan bahwa kelompok yang ketida (lingkaran horizontal) merupakan kelompok yang berlebihan karena ia meningkatkan jumlah suku (term). Kelompok ketiga ini memuat dua buah 1 yang sebenarnya sudah termasuk ke dalam kelompok lain. Kelompok berlebihan dapat dihilangkan dari Peta Karnaugh menjadi :



maka fungsi Boolean hasil penyederhanaan adalah :



yang ternyata lebih sederhana dibandingkan dengan jawaban pertama karena mengandung jumlah literal dan operasi biner lebih sedikit.

Ketidakunikan fungsi hasil penyederhanaan

Metode peta Karnaugh menghasilkan fungsi Boolean yang lebih sederhana. Fungsi yang lebih sederhana mempunyai jumlah literal dan jumlah term yang lebih sedikit daripada fungsi asalnya. Namun, hasil penyederhanaan denga peta Karnaugh tidak selalu unik. Artinya, mungkin terdapat beberapa bentuk fungsi minimasi yang berbeda meskipun jumlah literal dan jumlah term-nya sama. Hal ini diberikan pada contoh peta Karnaugh di bawah ini.

Kemungkinan pengelompokan I :


Fungsi minimasi :

Kemungkinan pengelompokan II :


Fungsi minimasi :


Kemungkinan pengelompokan III :

Fungsi minimasi : + w y’z’


METODE QUINE- McCLUSKEY

Metode peta Karnaugh hanya cocok digunakan jika fungsi Boolean mempunyai jumlah peubah paling banyak 6 buah. Jika jumlah peubah yang terlibat pada suatu fungsi Boolean lebih dari 6 buah maka penggunaan peta Karnaugh menjadi semakin rumit, sebab ukuran peta bertambah besar. Selain itu, metode peta Karnaugh lebih sulit diprogram dengan komputer karena diperlukan pengamatan visual untuk mengidentifikasi minterm-minterm yang akan dikelompokkan. Untuk itu diperlukan metode penyederhanaan yang lain yang dapat diprogram dan dapat digunakan untuk fungsi Boolean dengan sembarang jumlah peubah. Metode alternatif tersebut adalah metode Quine-McCluskey yang dikembangkan oleh W.V. Quine dan E.J. McCluskey pada tahun 1950.

Langkah-langkah metode Quine-McCluskey untuk menyederhanakan ekspresi Boolean dalam bentuk SOP adalah sebagai berikut :
1. Nyatakan tiap minterm dalam n peubah menjadi string bit yang panjangnya n, yang dalam hal ini peubah komplemen dinyatakan dengan ‘0’, peubah yang bukan komplemen dengan ‘1’.
2. Kelompokkan tiap minterm berdasarkan jumlah ‘1’ yang dimilikinya.
3. Kombinasikan minterm dalam n peubah dengan kelompok lain yang jumlah ‘1’-nya berbeda satu, sehingga diperoleh bentuk prima (prime-implicant) yang terdiri dari n-1 peubah. Minterm yang dikombinasikan diberi tanda “”.
4. Kombinasikan minterm dalam n-1 peubah dengan kelompok lain yang jumlah ‘1’-nya berbeda satu, sehingga diperoleh bentuk prima yang terdiri dari n-2 peubah.
5. Teruskan langkah 4 sampai diperoleh bentuk prima yang sesederhana mungkin.
6. Ambil semua bentuk prima yang tidak bertanda “”. Buatlah tabel baru yang memperlihatkan minterm dari ekspresi Boolean semula yang dicakup oleh bentuk prima tersebut (tandai dengan “”). Setiap minterm harus dicakup oleh paling sedikit satu buah bentuk prima.
7. Pilih bentuk prima yang memiliki jumlah literal paling sedikit namun mencakup sebanyak mungkin minterm dari ekspresi Boolean semula. Hal ini dapat dilakukan dengan cara berikut :
a. Tandai kolom – kolom yang mempunyai satu buah tanda “” dengan tanda “*”, lalu beri tanda “” di sebelah kiri bentuk prima yang berasosiasi dengan tanda “*” tersebut. Bentuk prima ini telah dipilih untuk fungsi Boolean sederhana.
b. Untuk setiap bentuk prima yang telah ditandai “”, beri tanda minterm yang dicakup oleh bentuk prima tersebut dengan tanda “”.
c. Periksa apakah masih ada minterm yang belum dicakup oleh bentuk prima terpilih. Jika ada, pilih dari bentuk prima yang tersisa yang mencakup sebanyak mungkin minterm tersebut. Beri tanda “” bentuk prima yang dipilih itu serta minterm yang dicakupnya.
d. Ulangi langkah c sampai seluruh minterm sudah dicakup oleh semua bentuk prima.
Asilnya, metode Quine McCluskey digunakan untuk menyederhanakan fungsi Boolean yang ekspresinya dalam bentuk SOP, namun metode ini dapat dimodifikasi sehingga juga dapat digunakan untuk ekspresi dalam bentuk POS.

Contoh 1
Sederhanakan fungsi Boolean .

Penyelesaian :
(i). Langkah 1 sampai 5 :

(ii). Langkah 6 dan 7 :


Bentuk prima yang terpilih adalah :

0,1 yang bersesuaian dengan term
0,2,8,10 yang bersesuaian dengan term
10,11,14,15 yang bersesuaian dengan term

Semua bentuk prima di atas sudah mencakup semua minterm dari fungsi Boolean semula. Dengan demikian, fungsi Boolean hasil penyederhanaan adalah .

Contoh 1 di atas kurang begitu bagus dalam memberikan ilustrasi metode Quine McCluskey. Contoh 2 di bawah ini dapat memberikan gambaran metode untuk kasus yang lebih umum.

Contoh 2
Sederhanakan fungsi Boolean

Penyelesaian :
(i). Langkah 1 sampai 5 :

(ii). Langkah 6 dan 7 :

Sampai tahap ini, masih ada dua minterm yang belum tercakup dalam bentuk prima terpilih, yaitu 7 dan 15. Bentuk prima yang tersisa (tidak terpilih) adalah (6,7), (7,15), dan (11,15). Dari ketiga kadidat ini, kita pilih bentuk prima (7,15) karena bentuk prima ini mencakup minterm 7 dan 15 sekaligus.




Sekarang, semua minterm sudah tercakup dalam bentuk prima terpilih. Bentuk prima yang terpilih adalah :
1,9 yang bersesuaian dengan term
4,6 yang bersesuaian dengan term
7,15 yang bersesuaian dengan term
8,9,10,11 yang bersesuaian dengan term

Dengan demikian, fungsi Boolean hasil penyederhanaan adalah


LATIHAN - 5

1. Dari tabel kebenaran berikut ini, tentukan output Y = 1 (SOP) dan Y = 0 (POS), dan gambarkan gerbang logikanya.

Desimal A B Y
0 0 0 1
1 0 1 0
2 1 0 1
3 1 1 1



2. Dengan input 4 variabel, buatlah tabel kebenaran yang memberikan output 1 jika keempat variabel dikonversikan ke desimal merupakan bilangan yang habis dibagi 4. Tuliskan juga persamaan dari output 0 dan gerbang logikanya.

3. Sederhanakan fungsi boole berikut dengan peta karnaugh :
Y = C + A D + + A C

4. Tentukan bentuk sederhana dari fungsi Boolean yang merepresentasikan tabel kebenaran (Tabel 1) dalam bentuk SOP dan bentuk POS.

Tabel 1
x y z f(x,y,z)
0 0 0 0
0 0 1 1
0 1 0 0
0 1 1 1
1 0 0 1
1 0 1 0
1 1 0 1
1 1 1 0

5. Minimisasi fungsi Boolean
6. Minimisasi fungsi Boolean
7. Sederhanakan fungsi Boolean yang bersesuaian dengan peta Karnaugh di bawah ini dalam bentuk SOP.






8. Minimisasi fungsi Boolean
9. Minimisasi fungsi Boolean
10. Sederhanakan fungsi Boolean berikut : ke dalam bentuk POS.
11. Sederhanakan fungsi dengan menggunakan Peta Karnaugh. Hasil penyederhanaan dalam bentuk SOP dan POS.
12. Sederhanakan fungsi
13. Minimasi fungsi yang telah dipetakan ke Peta Karnaugh di bawah ini dalam bentuk SOP dan bentuk POS.

ಅಲ್ಜಬರ್ boolean

BAB III PRINSIP DASAR ALJABAR BOOLE

vc
PRINSIP DASAR ALJABAR BOOLE

Aljabar boole adalah suatu teknik matematika yang dipakai untuk menyelesaikan masalah-masalah logika. Aljabar boole mendasari operasi-operasi aritmatika yang dilakukan oleh komputer dan juga bermanfaat menganalisis dan mendesain rangkaian yang menjadi dasar bagi pembentukan komputer sendiri.

DEFINISI-DEFINISI DASAR ALJABAR BOOLE

1. Operasi Invers
Yaitu operasi logika yang mengubah logika 1 menjadi 0 atau sebaliknya.
Jika suatu variabel x, maka invers x (dibaca : bukan x, x-invers, x-not, x-bar)
= x-invers
= A-invers

Tabel kebenaran A-invers :
A

0 1
1 0

2. Operasi AND
Operasi AND antara 2 variabel A dan B ditulis A . B (dibaca: A and B)

Tabel kebenaran A . B :
A B A.B
0 0 0
0 1 0
1 0 0
1 1 1

A . B bernilai 1, hanya jika A dan B bernilai 1

3. Operasi OR
Operasi OR antara 2 variabel A dan B ditulis A + B (dibaca: A or B)

Tabel kebenaran A + B :
A B A+B
0 0 0
0 1 1
1 0 1
1 1 1

A + B bernilai 0, hanya jika A dan B bernilai 0


POSTULAT BOOLE

Postulat-postulat yang berlaku dalam aljabar boole :
P1 : x = 0 atau x = 1
P2 : 0 + 0 = 0
P3 : 1 + 1 = 1
P4 : 0 . 0 = 0
P5 : 1 . 1 = 1
P6 : 1 . 0 = 0 . 1 = 0
P7 : 1 + 0 = 0 + 1 = 1

HUKUM DAN TEOREMA ALJABAR BOOLE

T1 : Operasi 0 dan 1 (Operation with 0 and 1)
a. 0 + A = A
b. 1 + A = 1
c. 0 . A = 0
d. 1 . A = A
T2 : Hukum Identitas (Idempotent Laws)
a. A . A = A
b. A + A = A
T3 : Hukum Negasi (Involution Laws)
a. ( ) =
b. ( ) = A
T4 : Hukum Komplemen (Laws of Complementarity)
a. + A = 1
b. . A = 0
T5 : Hukum Komutatif (Commutative Laws)
a. A + B = B + A
b. A . B = B . A
T6 : Hukum Asosiatif (Associative Laws)
a. (A + B) + C = A + (B + C)
= A + B + C
b. (A . B) . C = A . (B . C)
= A . B . C
T7 : Hukum Distributif (Distributive Laws)
a. A . (B + C) = (A . B) + (A . C)
b. A + (B . C) = (A + B) . (A + C)
T8 : Hukum Redundansi (Redundant Laws)
a. A + A . B = A
b. A . (A + B) = A
T9 : Teorema Penyederhanaan (Simplification Theorems)
a. A + . B = A + B
b. A . ( + B) = A . B
T10 : Hukum De Morgan (DeMorgan’s Laws)
a. ( ) = .
b. ( ) = +
T11 : Teorema Perkalian dan Pemfaktoran (Theorem for Multiplying Out and Factoring)
a. (A + B)( + C) = AC + B
b. AB + C = (A + C)( + B)
T12 : Teorema Konsensus
a. AB + BC + C = AB + C
b. (A + B)(B + C)( + C) = (A + B)( + C)
TABEL KEBENARAN

=> Salah satu cara untuk menguji kebenaran dari teorema aljabar boole
=> Dalam tabel kebenaran, setiap kondisi/kombinasi variabel yang ada harus didaftarkan juga hasil output untuk setiap kombinasi input.

Contoh :

1. Buktikan : A + A . B = A (Hukum Redundansi)

A B A . B A + A . B
0 0 0 0
0 1 0 0
1 0 0 1
1 1 1 1

Terbukti bahwa A + A . B = A

2. Buktikan teorema De Morgan : ( ) = +

A B A . B


+

0 0 0 1 1 1 1
0 1 0 1 1 0 1
1 0 0 1 0 1 1
1 1 1 0 0 0 0

Terbukti bahwa ( ) = +

LATIHAN - 1

1. Buktikan dengan tabel kebenaran bahwa :
a. 0 + A = A
b. A . A = A
c. A . (B + C) = (A . B) + (A . C)
d. A + . B = A + B
e. AB + BC + C = AB + C
f. X Y + X Y + X Y = X + Y
g. ABC + AC + BC = A + B + C
h. A B D + A B D + A B D = A (B D + B D)

NOTASI / UNGKAPAN BOOLE

Keluaran dari satu atau kombinasi beberapa buah gerbang dapat dinyatakan dalam suatu ungkapan logika yang disebut ungkapan Boole. Teknik ini memanfaatkan aljabar Boole dengan notasi-notasi khusus dan aturan-aturan yang berlaku untuk elemen-elemen logika termasuk gerbang logika.

 Aljabar Boole mempunyai notasi sebagai berikut :
(a) Fungsi AND dinyatakan dengan sebuah titik (dot, .). Sehingga, sebuah gerbang AND yang mempunyai masukan A dan B keluarannya bisa dinyatakan sebagai :
F = A . B atau F = B . A
dengan A dan B adalah masukan dari gerbang AND. Untuk gerbang AND tiga-masukan (A, B, dan C), maka keluarannya bisa dituliskan sebagai :
F = A . B . C
Tanda titik sering tidak ditulis, sehingga persamaan di atas bisa ditulis sebagai :
F = AB (atau BA) dan F = ABC

(b) Fungsi OR dinyatakan dengan sebuah simbol plus (+). Sehingga gerbang OR dua-masukan dengan masukan A dan B, keluarannya dapat dituliskan sebagai :
F = A + B atau F = B + A

(c) Fungsi NOT dinyatakan dengan garis atas (overline) pada masukannya. Sehingga, gerbang NOT dengan masukan A mempunyai keluaran yang dapat dituliskan sebagai :
F = (dibaca sebagai not A atau bukan A).

(d) Fungsi XOR dinyatakan dengan simbol . Untuk gerbang XOR dua-masukan, keluarannya bisa dituliskan sebagai :
F = A  B

 Notasi NOT digunakan untuk menyajikan sembarang fungsi pembalik (ingkaran). Sebagai contoh, jika keluaran dari gerbang AND diingkar untuk menghasilkan fungsi NAND, ungkapan Boole dapat dituliskan sebagai :
F = atau F =

Ungkapan Boole untuk fungsi NOR adalah :
F =

 Tabel berikut ini menyajikan notasi dasar dari ungkapan Boole :
Notasi Boole
Fungsi Notasi Boole
NOT

AND A . B
OR A + B
NAND

NOR

EX-OR

EX-NOR A  B

MEMANIPULASI DAN MENYEDERHANAKAN FUNGSI PADA ALJABAR BOOLE

 Untuk pertimbangan ekonomis
 Jika lebih sederhana, biayanya lebih murah

Contoh :

1. Sederhanakan : A + A . + . B
= A + A . + . B
= A . ( 1 + ) + . B
= A . 1 + . B
= A + . B
= A + B
2. Sederhanakan : . B + A . B + .
= . B + A . B + .
= B . ( + A ) + .
= B . 1 + .
= B + .
= B +


LATIHAN - 2

1. Sederhanakan : A . (A . B + C)
2. Sederhanakan : A B C + C A B + A B + A
3. Sederhanakan : ((x + y) + (x + z)) . Z
4. Sederhanakan: X Y + Y + Y Z
5. Sederhanakan: X (X + Y) + (X + Y)(X + )


GERBANG-GERBANG LOGIKA (LOGIC GATES)

Gerbang logika adalah piranti dua-keadaan, yaitu mempunyai keluaran dua keadaan. Keluaran dengan nol volt yang menyatakan logika 0 (atau rendah) dan keluaran dengan tegangan tetap yang menyatakan logika 1 (atau tinggi).
Gerbang logika dapat mempunyai beberapa masukan yang masing-masing mempunyai salah satu dari dua keadaan logika, yaitu 0 atau 1. Gerbang logika dapat digunakan untuk melakukan fungsi-fungsi khusus, misalnya AND, OR, NAND, NOR, NOT, atau EX-OR (XOR).
=> Komputer digital pada dasarnya tersusun dari rangkaian gerbang-gerbang logika yang sudah diintegrasikan (IC)
=> Bagian-bagian yang membentuk IC terdiri dari transistor-transistor, dioda-dioda dan komponen zat padat lainnya.

Gerbang-Gerbang Logika Dasar
=> Gerbang AND, OR dan operasi kebalikan (NOT)
=> Kombinasi dari gerbang di atas :
- Gerbang NOT-AND disebut NAND
- Gerbang NOT-OR disebut NOR
- Gerbang Exclusive-OR disebut EX-OR
- Gerbang NOT-EX-OR disebut EX-NOR
=> Simbol dari gerbang-gerbang logika yang dikeluarkan oleh ASA (American Standard Association) yang telah mendapat pengakuan international.



GERBANG AND
 Gerbang AND digunakan untuk menghasilkan logika 1 jika semua masukan mempunyai logika 1, jika tidak maka akan dihasilkan logika 0. Daftar yang berisi kombinasi semua kemungkinan keadaan masukan dan keluaran yang dihasilkan disebut sebagai tabel kebenaran dari gerbang yang bersangkutan.

GERBANG NAND
 Gerbang NAND akan mempunyai keluaran 0 bila semua masukan pada logika 1. Sebaliknya, jika ada sebuah logika 0 pada sembarang masukan pada gerbang NAND, maka keluarannya akan bernilai 1. Kata NAND merupakan kependekan dari NOT-AND, yang merupakan ingkaran dari gerbang AND.

GERBANG OR
 Gerbang OR akan memberikan keluaran 1 jika salah satu dari masukannya pada keadaan 1. Jika diinginkan keluaran bernilai 0, maka semua masukan harus dalam keadaan 0.

GERBANG NOR
 Gerbang NOR akan memberikan keluaran 0 jika salah satu dari masukannya pada keadaan 1. Jika diinginkan keluaran bernilai 1, maka semua masukan harus dalam keadaan 0. Kata NOR merupakan kependekan dari NOT-OR, yang merupakan ingkaran dari gerbang OR.

GERBANG NOT
 Gerbang NOT merupakan gerbang satu-masukan yang berfungsi sebagai pembalik (inverter). Jika masukannya tinggi, maka keluarannya rendah, dan sebaliknya.

GEBANG XOR
 Gerbang XOR (dari kata exclusive-or) akan memberikan keluaran 1, jika masukan-masukannya mempunyai keadaan yang berbeda. Dari tabel tersebut dapat dilihat bahwa keluaran pada gerbang XOR merupakan penjumlahan biner dari masukannya.


TABEL KEBENARAN DARI MASING-MASING GERBANG LOGIKA

Gerbang NOT


Gerbang AND


Gerbang OR


Gerbang NAND


Gerbang NOR




Gerbang EX-OR


Gerbang EX-NOR



MERANCANG DIAGRAM NALAR DARI FUNGSI BOOLE

=> Mengimplementasikan persamaan-persamaan fungsi logika ke dalam untai elektronika logika.

Contoh :
Gambarkan gerbang logikanya :
D=A B C + A B C + A B
W = X ( Z + ) + Z
S = (A . (B + C) + A . B) . C

LATIHAN - 3

Sederhanakan persamaan boole berikut ini dan gambarkan dalam bentuk gerbang logika :
1. Y = A B C + A B + B C
2. Y = A . (B + C) + A . B . (C + B . (A + C)) + A . B . C

=> Gerbang kombinasi not-and (nand) dan not-or (nor)

A B C =

0 0 1
0 1 1
1 0 1
1 1 0



Contoh :

Y = A . (B + C)


Aturan merancang Gerbanq Logika dengan "Nand Only”

1. Sederhanakan fungsi Boolenya
2. Manipulasikan agar fungsi boolenya dalam bentuk SOP (Sum of Product) = OR
3. Kenakanlah negasi dua (2) kali pada fungsi boole
4. Terapkan hukum De Morgan pada negasi bagian dalam

Contoh :
Rancanglah dengan Gerbang "Nand Only"
1. f(A,B,C) = A + C
=
=

2. f(A,B,C) = A + C
=
=

Aturan merancang Gerbanq Logika dengan "Nor Only”

1. Sederhanakan fungsi Boolenya
2. Manipulasikan agar fungsi boolenya dalam bentuk POS (Product of Sum) = AND
3. Kenakanlah negasi dua (2) kali pada fungsi boole
4. Terapkan hukum De Morgan pada negasi bagian dalam

Contoh :
Rancanglah dengan Gerbang "Nor Only"
f(A, B, C) = (A + B) . (A + C)

HUBUNGAN TABEL KEBENARAN DENGAN GERBANG LOGIKA
 Salah satu cara untuk menguji kebenaran dari teorema aljabar boole
 Dalam tabel kebenaran, setiap kondisi/kombinasi variabel yang ada harus didaftarkan juga hasil output untuk setiap kombinasi input.

Membentuk Persamaan dari Tabel Kebenaran

 Jika yang dilihat adalah output "1" maka persamaan mempunyai bentuk "Sum of Product (SOP)", dan nilai A, B atau C = 1, maka tetap dituliskan A, B atau C. Tetapi jika nilai A, B atau C = 0, maka dituliskan , , atau .

Contoh :
A B C = 0 0 0 , ditulis :
A B C = 1 1 1 , ditulis : A B C

 Jika yang akan dilihat adalah output "0", maka bentuk persamaan mempunyai bentuk "Product of Sum (POS)". Jika nilai A, B atau C = 1 maka dituliskan , , atau . Tetapi jika nilai A, B, atau C = 0, maka dituliskan A, B atau C.
Contoh :
A B C = 0 0 0 , ditulis : A B C -> ( A + B + C )
A B C = 1 1 1 , ditulis : -> ( + + )
LATIHAN - 4

1. Tentukan output Y = 1 (SOP: Nand Only) dan Output Y = 0 (POS: Nor Only) dari tabel kebenaran berikut ini :
a. Tabel kebenaran :
Desimal A B C Y
0 0 0 0 0
1 0 0 1 1
2 0 1 0 0
3 0 1 1 1
4 1 0 0 1
5 1 0 1 0
6 1 1 0 0
7 1 1 1 1

b. Tabel kebenaran :
Desimal A B Y
0 0 0 1
1 0 1 0
2 1 0 1
3 1 1 1

2. Dari tabel kebenaran di bawah ini rancanglah diagram nalarnya dengan “Nand Only” dan “Nor Only”
A B C D Y
0 0 0 0 0
0 0 0 1 0
0 0 1 0 1
0 0 1 1 1
0 1 0 0 1
0 1 0 1 0
0 1 1 0 1
0 1 1 1 0
1 0 0 0 1
1 0 0 1 0
1 0 1 0 0
1 0 1 1 1
1 1 0 0 1
1 1 0 1 0
1 1 1 0 0
1 1 1 1 1

3. Pada suatu jalan yang sedang diperbaiki, mobil yang melewati harus satu persatu. Tidak diperkenankan lewat 2 kendaraan sekaligus atau lebih. Sinyal akan menyala jika kendaraan melebihi satu (ketentuan tersebut dilanggar).
- Buatlah tabel kebenaran dengan contoh 3 kendaraan (3 variabel)
- Persamaan dan rangkaian logikanya dilihat dari output "1"
4. Dengan input 4 variabel, buatlah tabel kebenaran yang memberikan output 1 jika keempat variabel dikonversi ke desimal merupakan bilangan yang habis dibagi 4. Buat:
- Tabel kebenaran
- Persamaan dari output 0
- Gerbang logika
5. Rancanglah dengan gerbang "Nand Only"
a. f(A,B,C) = A . (B + C) + A B
b. f(A,B.C) = A B (B + C) + A (B + C) + A B C + C (A + B C)
6. Rancanglah dengan gerbang "Nor Only"
a. f(A,B,C) = (A + B) . (A + B) . (B + C)
b. f(A,B,C) = (A + B + C) . (A + B) . (A + C)


MINTERM & MAXTERM

 Cara yang dipakai untuk mempermudah menyatakan suatu ekspresi logika
 Pada dasarnya adalah mendaftar nomor baris atau nilai desimal dari kombinasi variabel input yang outputnya berharga "0" untuk maxterm dan berharga "1" untuk minterm.
 Suatu ekspresi logika yang dinyatakan dalam minterm akan memiliki bentuk "Sum of Product"
Misal : B C + + A B + ...

 Suatu ekspresi logika yang dinyatakan dalam maxterm akan memiliki bentuk "Product of Sum"
Misal : ( + B + C ) . ( + + ) . ( A + B + ) . ...

Tabel Minterm (SOP) dan Maxterm (POS)

Desimal A B C Minterm (SOP)  m Maxterm (POS) M

0 0 0 0 = m0
A + B + C = M0
1 0 0 1 C = m1
A + B + = M1

2 0 1 0 B = m2
A + + C = M2

3 0 1 1 B C = m3
A + + = M3

4 1 0 0 A = m4
+ B + C = M4

5 1 0 1 A C = m5
+ B + = M5

6 1 1 0 A B C = m6 + + C = M6

7 1 1 1 A B C = m7 + + = M7


Contoh :

1. f(A,B,C) =  m (0,4,5,7)
= + A + A C + A B C


2. Tentukan Maxterm dari tabel kebenaran berikut ini

Desimal A B C f (A,B,C)
0 0 0 0 1
1 0 0 1 0
2 0 1 0 0
3 0 1 1 0
4 1 0 0 1
5 1 0 1 1
6 1 1 0 0
7 1 1 1 1

Jawab:
Maxterm (lihat output bernilai 0)
f(A,B,C) = M (1,2,3,6)
= ( A + B + ) . ( A + + C ) . ( A + + ) . ( + + C )

LATIHAN - 5

1. Ubahlah ekspresi logika berikut ini ke dalam bentuk minterm.
f(A,B,C,D) = A B C D + A B C D + A B C D + A B C D
2. Ubahlah ekspresi logika berikut ini ke dalam bentuk maxterm.
f(A,B,C) = (A + B + C) . (A + B + C) . (A + B + C)
3. Tentukan persamaannya :
a. M (1,4,5,6)
b.  m (1,2,6,7)


FUNGSI YANG TERDEFINISI TIDAK LENGKAP

 Sebuah system digital yang besar biasanya dibagi dalam beberapa bagian jaringan. Perhatikan contoh berikut ini dimana output dari jaringan N1 menjadi input bagi jaringan N2.







Diasumsikan bahwa output dari N1 tidak memberikan semua nilai kombinasi yang mungkin untuk A, B dan C.

Sebagai contoh : diasumsikan bahwa w, x, y dan z tidak dapat memberikan nilai kombinasi A, B dan C untuk 0 0 1 atau 1 1 0. Karena itu, dalam design N2 tidak perlu menspesifikasikan nilai F untuk A B C = 0 0 1 dan 1 1 0, karena nilai kombinasi tersebut tidak akan pernah menjadi input bagi N2. Maka dapat digambarkan tabel kebenaran untuk N2 sebagai berikut :


A B C F
0 0 0 1
0 0 1 X
0 1 0 0
0 1 1 1
1 0 0 0
1 0 1 0
1 1 0 X
1 1 1 1

 Simbol x dalam tabel kebenaran disebut "don't care" yang dapat bernilai 0 atau 1. Fungsi/persamaan F dispesifikasikan tidak lengkap.
 Jika x (don't care) diartikan 0, maka persamaannya adalah :
F = + B C + A B C
 Jika x (don't care) diartikan 1 untuk x yang pertama, maka persamaannya adalah :
F = + C + B C + A B C
 Jika x (don't care) diartikan 1 untuk kedua x, maka persamaannya adalah
F = + C + B C + A B + A B C
 Bentuk minterm dari tabel kebenaran di atas adalah :
F =  m (0,3,7) +  d (1,6)
 Bentuk maxterm dari tabel kebenaran di atas adalah :
F = M (2,4,5) + d (1,6)

- JAWABAN LATIHAN DI KUMPUL PALING LAMBAT TGL 28/9/2007 JAM 12.00 DI TU PP).

pengkodean

BAB II
ARITMATIKA DAN PENGKODEAN


ARITMATIKA BINER

Operasi aritmatika terhadap bilangan binari yang dilakukan oleh komputer di ALU terdiri dari 2 operasi yaitu operasi penambahan dan operasi pengurangan.

• Penjumlahan Bilangan Biner

Pertambahan binari dilakukan dengan cara yang sama dengan pertambahan bilangan desimal :
- Digit – digit dari bilangan desimal ditambahkan satu persatu mulai dari posisi kolom paling kanan.
- Bila hasil pertambahan antar kolom melebihi nilai 9 maka dikurangi dengan nilai 10 untuk dibawa (carry of) ke pertambahan berikutnya.

Contoh : 273  3 dan 9 dijumlahkan hasilnya 12 > 9, karena itu dikurangi 10
189 + hasilnya : 2 dengan carry of 1
462

Pertambahan bilangan biner dilakukan dengan cara yang sama dengan dasar pertambahan bilangan biner sebagai berikut :

0 + 0 = 0 1 + 1 = 0  dengan carry of 1, 1 + 1= 2
0 + 1 = 1 karena digit terbesar biner hanya 2 maka dikurangi dengan
1 + 0 = 1 2 (basis) jadi 2 – 2 = 0 sisa 1
1 + 1 = 0

Contoh : 1 1 1 1 1 0 1 1 0
1 0 1 0 0 + 1 1 1 0 1
1 0 0 0 1 1 1 1 1 0 1 +
1 0 1 0 0 0 0

• Pengurangan Bilangan Biner

Dasar pengurangan untuk masing – masing digit bilangan binary adalah :

0 – 0 = 0
1 – 0 = 1 0 – 1 = 1  Dengan borrow of 1 (pinjam)
1 – 1 = 0 digit 1 dari posisi sebelah kirinya
0 – 1 = 1

Beberapa contoh pengurangan binary :

- Tanpa terjadinya peminjaman digit
Desimal Binary
27 1 1 0 1 1
9 _ 1 0 0 1 _

18 1 0 0 1 0


- Terjadi peminjaman sebuah bilangan di kolom sebelah kirinya.
Desimal Binary
29 1 1 1 0 1
11 _ 1 0 1 1 _

18 1 0 0 1 0

Caranya : 1 – 1 = 0
0 – 1 = 1 , dengan borrow of 1
1 – 0 - 1 = 0
1 – 1 = 0
1 – 0 = 1

- Tidak terjadi peminjaman sebuah bilangan di kolom sebelahnya karena yang akan dipinjam bernilai 0, sehingga harus meminjam di kolom sebelahnya lagi.

Desimal Binary
25 1 1 0 0 1
19 _ 1 0 0 1 1 _

6 0 0 1 1 0

Caranya : 1 – 1 = 0
0 - 1 = 1 , dengan borrow of 1
0 – 0 - 1 = 1 , dengan borrow of 1
1 - 0 – 1 = 0
1 – 1 = 0

BILANGAN KOMPLEMEN

• Pengurangan Bilangan Dengan Komplemen

Metode pengurangan binary biasa dilakukan oleh manusia, untuk komputer biasanya menggunakan metode komplemen (complement) yaitu :
- Komplemen basis min – 1 ( Radix minus one complement )
- Komplemen basis ( Radix )
Komplemen pada dasarnya merubah bentuk pengurangan menjadi bentuk pertambahan.
Dalam sistem desimal, ada 2 macam komplemen yaitu :
- Komplemen 9 ( 9’s complement )
- Komplemen 10 ( 10’s complement )

Dalam sistem biner :
- Komplemen 1 ( 1’s complement )
- Komplemen 2 ( 2’s complement )


• Komplemen 9 ( 9’s Complement )

Komplemen 9 dari suatu bilangan desimal delakukan dengan cara mengurangkan angka 9 untuk masing – masing digit dalam bilangan pengurang.

Contoh :
Komplemen 9 dari 24 adalah 75, yaitu : 99 – 24 = 75
Komplemen 9 dari 321 adalah 678, yaitu : 999 – 321 = 678

Pengurangan biasa Komplemen 9 Dalam komplemen 9 digit yang paling
859  859 kiri ditambahkan pada digit paling kanan.
523 _ 476 +
336 1335
1
+
336

• Komplemen 10 ( 10’s Complement )

Komplemen 10 dari suatu bilangan dilakukan dengan cara, hasil komplemen 9 ditambah 1 ( cari komplemen 9 lalu ditambah 1 ).

Contoh : Komplemen 10 dari 24  9’s = 75
10’s = 75 + 1
= 76
Contoh pengurangan :

9’s : 859 10’s : 859  9’s : 476 + 1
523 _ 477 +
336 1336
diabaikan / dibuang

• Komplemen 1 ( 1’s Complement )

Komplemen 1 dari suatu bilangan biner dilakukan dengan cara mengurangkan semua digit dengan nilai bit 1/ merubah bit ‘0’ menjadi ‘1’ atau bit ‘1’ menjadi ‘0’.

Contoh :
1’s dari 10110 = 01001
25  11001  1’s : 11001
22 _ 10110- 01001 +
3 00011 100010
1 +

00011

Dalam komplemen 1, digit 1 paling ujung kiri ditambahkan pada digit paling kanan.

• Komplemen 2 ( 2’s Complement )

Komplemen 2 dari suatu bilangan biner dilakukan dengan cara, hasil komplemen 1 ditambah 1.
Komplemen 2 dari bilangan biner 10110  10110
1’s : 01001 + 1 2’s : 01010
25  11001  2’s : 11001
22 10110 _ 01010 +
3 00011 100011
00011
dibuang / diabaikan

• Komplemen 7 ( 7’s Complement )

Komplemen 7 dari suatu bilangan oktal dilakukan dengan cara, mengurangkan angka 7 untuk masing – masing digit dalam bilangan pengurangan.


• Komplemen 8 ( 8’s Complement )

Komplemen 8 dari suatu bilangan dilakukan dengan cara, hasil komplemen 7 ditambah 1 (cari komplemen 7 dulu lalu ditambah 1).



• Komplemen 15 ( 15’s Complement )

Komplemen 15 dari suatu bilangan hexadesimal dilakukan dengan cara, mengurangkan angka 15 untuk masing – masing digit dalam bilangan pengurangan.

• Komplemen 16 ( 16’s Complement )

Komplemen 16 dari suatu bilangan dilakukan dengan cara, hasil komplemen 15 ditambah 1 (cari komplemen 15 dulu lalu ditambah 1).


PERKALIAN BILANGAN BINER

- Dilakukan seperti perkalian pada bilangan desimal
- Perkalian binari dilakukan dengan cara operasi pertambahan yang dilakukan secara berulang – ulang.
- Dasar perkalian untuk masing – masing digit bilangan biner

Bilangan biner : 0 x 0 = 0
0 x 1 = 0
1 x 0 = 0
1 x 1 = 1
Contoh :
14 1110
12 x 1100 x
28 0000
14 + 0000
168 1110
1110 +
10101000

Jika pengali yang berupa digit biner bernilai 1, hasilnya berupa bilangan biner yang dikali (disalin saja), jika pengali = 0, maka hasilnya = 0

PEMBAGIAN BILANGAN BINER

- Dilakukan seperti pembagian pada bilangan desimal
- Pembagian dengan digit biner 0 tidak mempunyai arti
- Pembagian Binary yang dilakukan dengan cara operasi pengurangan yang dilakukan secara berulang – ulang.
- Dasar pembagian untuk masing – masing digit bilangan biner

Bilangan biner : 0 : 1 = 0
1 : 1 = 1
Contoh :

25 11001
5 125 101 1111101
10 - 101
25 101
25 - 101
0 101
101
0

LATIHAN


1. Tuliskan jawaban dari hasil operasi aritmatika biner berikut ini :

1. 1010 + 101 = ...
2. 1111 + 1 = ...

3. 1011 – 1010 = ...
4. 10000 – 1 = ...

5. 1010 x 1010 = ...

Carilah komplemennya :

6. (250) 10
1’s = ...
2’s = ...
8’s = ...
9’s = ...
16’s = ...
2. Gunakan 1’s dan 2’s untuk menghitung operasi pengurangan di bawah ini :
a) 1 0 0 1 1 1 0 1 - 1 1 1 0 0 1 1 0
b) 0 1 1 0 1 1 1 0 - 1 1 0 0 1 1 1 1
3. Hitung :
a) 1 0 1 1 + 1 1 1 0 + 1 1 0 1
b) 1 1 0 1 1 + 1 0 1 1 0
4. Carilah 7’s dan 8’s dari :
a) 176 b) 325 c) 6072
5. Hitunglah pengurangan berikut dengan 7’s dan 8’s :
a) 4 3 5 - 1 7 6
b) 5 1 3 - 3 2 5
c) 7 1 5 1 - 6 0 7 2
6. Carilah 15’s dan 16’s dari :
a) 1A6 b) AB3 c) 402D




BILANGAN BINER BERTANDA

• Bilangan biner positif mempunyai nilai antara 0000 0000(2) = 0010 dan 1111 11112 = 25510.
• Untuk membedakan bilangan positif dengan negatif sebuah bilangan desimal diberi tanda ‘-‘ disebelah kiri bilangan. Misal : - 2510
• Dalam bilangan biner tanda bilangan yaitu ‘-‘ disandikan dengan cara tertentu yang mudah dikenal dalam sistem digital. Untuk menyatakan bilangan negatif pada bilangan biner, bilangan yang dikenal dengan bit tanda bilangan (sign bit) ditambahkan di sebelah kiri MSB.
• Bilangan biner yang ditulis dengan cara di atas, menunjukkan tanda dan besarnya bilangan.
• Jika bit tanda (sign bit) = 0  menunjukkan bilangan positif.
• Jika bit tanda (sign bit) = 1  menunjukkan bilangan negatif.
• Pada bilangan biner bertanda yang terdiri dari 8 bit, bit yang paling kiri menunjukkan tanda, dan 7 bit berikutnya menunjukkan besarnya bilangan.

Contoh :
No. Bit 7 6 5 4 3 2 1 0
Bit 27 26 25 24 23 22 21 20
(tanda) 64 32 16 8 4 2 1

Maka,
[0]1100111 = + (64+32+4+2+1) [0]1111111 = + (64+32+16+8+4+2+1)
= + 103 = + 12710

[1]1010101 = - (64+16+4+1) [1]1111111 = - (64+32+16+8+4+2+1)
= - 8510 = - 12710

Karena hanya 7 bit yang menunjukkan besarnya bilangan, maka bilangan terkecil dan terbesar yang ditunjukkan bilangan biner bertanda terdiri dari 8 bit adalah :

[0] 1111111 = + 12710
[1] 1111111 = - 12710

Bilangan biner tak bertanda yang terdiri dari n bit mempunyai nilai maksimum
M = 2n – 1
Bilangan biner bertanda yang terdiri dari n bit mempunyai nilai maksimum
M = 2(n – 1) – 1
Jadi untuk register 8 bit di dalam mikroprocessor yang menggunakan sistem bilangan bertanda, nilai terbesar yang bisa disimpan dalam register tersebut adalah :
M = 2(n – 1) – 1
= 2(8 – 1) – 1
= 27 –1 Jadi jangkauannya = - 12710 sampai + 12710
= 128 – 1
= 12710


KOMPLEMEN UNTUK BILANGAN BERTANDA
Bilangan negatif sering diberikan dengan sistem komplemen 2.

• Bilangan Positif

Komplemen 2 adalah sama dengan sistem yang menunjukkan tanda dan besarnya bilangan, yaitu :
- MSB (bit paling kiri) = menunjukkan tanda bilangannya.
- Bit sisanya = menunjukkan besarnya bilangan.

Contoh : + 5410 = 54 = 27 + 0 = 00110110
2 = [0] 0110110
27 = 13 + 1
2
13 = 6 + 1
2
6 = 3 + 0
2
3 = 2 + 1
2
1 = 0 + 1
2
Jadi : + 5410 = [0] 01101102

• Bilangan Negatif

Komplemen 2 dapat diperoleh dengan menghitung terlebih dahulu komplemen 1 dari bilangan semula yang bertanda +, kemudian menambahkan 1 ke LSB-nya (bit paling kanan).

Contoh : - 5410 = [1] 0110110
1’s = [1] 1001001
1 +
2’s = [1] 1001010

Jadi - 5410 = [1] 1001010

LATIHAN

1. Ubah bilangan berikut menjadi bilangan bit bertanda :
a) 23 b) – 23 c) – 45 d) - 125


PENGKODEAN
• Kode adalah karakter – karakter khusus bisa numerik atau alphabetis yang dipakai sebagai simbol lain. Di dalam komponen digital karakter – karakter khusus tersebut adalah 1 dan 0.

• Manfaat kode di dalam komputer adalah :
 Untuk mempermudah operasi aritmatika.
 Untuk alasan – alasan efisiensi.
 Dipakai untuk mendeteksi bahkan mengoreksi data – data yang ditransmisikan.
 Untuk alasan keamanan.
• Keluarga Binary Coded Decimal (BCD), bilangan desimal yang dikodekan dalam bilangan biner yang termasuk keluarga 421.
Desimal 8421 5421 7421 2*421
0 0000 0000 0000 0000
1 0001 0001 0111 0001
2 0010 0010 0110 0010
3 0011 0011 0101 0011
4 0100 0100 0100 0100
5 0101 1000 1010 1011
6 0110 1001 1001 1100
7 0111 1010 1000 1101
8 1000 1011 1111 1110
9 1001 1100 1110 1111
• Selain itu keluarga Binary Coded Decimal (BCD) dapat juga dikodekan dalam bilangan biner khusus, yaitu bilangan biner selain keluarga 421.

Desimal 6311 Exess-3 2 out of 5
0 0000 0011 00011
1 0001 0100 00101
2 0011 0101 00110
3 0100 0110 01001
4 0101 0111 01010
5 0111 1000 01100
6 1000 1001 10001
7 1001 1010 10010
8 1011 1011 10100
9 1100 1100 11000


Contoh :
( 153 ) 10 = ( 0001 1000 0011 ) 5421
( 8391 ) 10 = ( 1110 0011 1111 0001 ) 2*421
( 1011 0100 1111 ) 2*421 = ( 5 4 9 ) 10
( 4213 ) 10 = ( 0111 0101 0100 0110 ) 10


KODE GRAY

Konversi biner ke kode gray :
• MSB biner = MSB Gray
• Selanjutnya bilangan biner menentukan nilai dai gray.
o Jika bit biner sebelum = bit biner sesudah, maka gray bernilai “0”
o Jika bit biner sebelum <> bit biner sesudah, maka gray bernilai “1”

Konversi dari kode gray ke biner
• MSB gray = MSB biner
• Selanjutnya bit gray, menjadi bit kontrol.
o Jika bit gray 1 maka akan mengubah digit biner sebelumnya.
o Jika bit gray 0 maka akan mengulang digit biner sebelumnya.

Contoh :

(11001010010) biner = (10101111011) gray
(10101111011) gray = (11001010010) biner
KODE ASCII

Pemrosesan data oleh komputer terdiri dari angka, huruf, dan simbol. Agar data tersebut dapat digunakan oleh semua aplikasi komputer, perlu adanya standarisasi bentuk yang harus dapat dikonversikan ke dalam bilangan biner. ASCII code (American Standard Code for Information Interchange) diciptakan untuk menyelesaikan permasalahan tersebut. ASCII terdiri dari 7 bit kode sehingga akan menghasilkan 27 (128) kombinasi yang akan mewakili angka, huruf, dan simbol.

ASCII Code ASCII Code ASCII Code
6 5 4 3 2 1 0 6 5 4 3 2 1 0 6 5 4 3 2 1 0
s 0 1 0 0 0 0 0 @ 1 0 0 0 0 0 0 ` 1 1 0 0 0 0 0
! 0 1 0 0 0 0 1 A 1 0 0 0 0 0 1 a 1 1 0 0 0 0 1
“ 0 1 0 0 0 1 0 B 1 0 0 0 0 1 0 b 1 1 0 0 0 1 0
# 0 1 0 0 0 1 1 C 1 0 0 0 0 1 1 c 1 1 0 0 0 1 1
$ 0 1 0 0 1 0 0 D 1 0 0 0 1 0 0 d 1 1 0 0 1 0 0
% 0 1 0 0 1 0 1 E 1 0 0 0 1 0 1 e 1 1 0 0 1 0 1
& 0 1 0 0 1 1 0 F 1 0 0 0 1 1 0 f 1 1 0 0 1 1 0
‘ 0 1 0 0 1 1 1 G 1 0 0 0 1 1 1 g 1 1 0 0 1 1 1
( 0 1 0 1 0 0 0 H 1 0 0 1 0 0 0 h 1 1 0 1 0 0 0
) 0 1 0 1 0 0 1 I 1 0 0 1 0 0 1 i 1 1 0 1 0 0 1
* 0 1 0 1 0 1 0 J 1 0 0 1 0 1 0 j 1 1 0 1 0 1 0
+ 0 1 0 1 0 1 1 K 1 0 0 1 0 1 1 k 1 1 0 1 0 1 1
, 0 1 0 1 1 0 0 L 1 0 0 1 1 0 0 l 1 1 0 1 1 0 0
- 0 1 0 1 1 0 1 M 1 0 0 1 1 0 1 m 1 1 0 1 1 0 1
. 0 1 0 1 1 1 0 N 1 0 0 1 1 1 0 n 1 1 0 1 1 1 0
/ 0 1 0 1 1 1 1 O 1 0 0 1 1 1 1 o 1 1 0 1 1 1 1
0 0 1 1 0 0 0 0 P 1 0 1 0 0 0 0 p 1 1 1 0 0 0 0
1 0 1 1 0 0 0 1 Q 1 0 1 0 0 0 1 q 1 1 1 0 0 0 1
2 0 1 1 0 0 1 0 R 1 0 1 0 0 1 0 r 1 1 1 0 0 1 0
3 0 1 1 0 0 1 1 S 1 0 1 0 0 1 1 s 1 1 1 0 0 1 1
4 0 1 1 0 1 0 0 T 1 0 1 0 1 0 0 t 1 1 1 0 1 0 0
5 0 1 1 0 1 0 1 U 1 0 1 0 1 0 1 u 1 1 1 0 1 0 1
6 0 1 1 0 1 1 0 V 1 0 1 0 1 1 0 v 1 1 1 0 1 1 0
7 0 1 1 0 1 1 1 W 1 0 1 0 1 1 1 w 1 1 1 0 1 1 1
8 0 1 1 1 0 0 0 X 1 0 1 1 0 0 0 x 1 1 1 1 0 0 0
9 0 1 1 1 0 0 1 Y 1 0 1 1 0 0 1 y 1 1 1 1 0 0 1
: 0 1 1 1 0 1 0 Z 1 0 1 1 0 1 0 z 1 1 1 1 0 1 0
; 0 1 1 1 0 1 1 [ 1 0 1 1 0 1 1 { 1 1 1 1 0 1 1
< 0 1 1 1 1 0 0 \ 1 0 1 1 1 0 0 | 1 1 1 1 1 0 0
= 0 1 1 1 1 0 1 ] 1 0 1 1 1 0 1 } 1 1 1 1 1 0 1
> 0 1 1 1 1 1 0 ^ 1 0 1 1 1 1 0 ~ 1 1 1 1 1 1 0
? 0 1 1 1 1 1 1 _ 1 0 1 1 1 1 1 d 1 1 1 1 1 1 1

Berdasarkan tabel ASCII tersebut, suatu karakter akan dapat langsung diubah ke dalam bentuk binernya.
Contoh :
Karakter “ S t a r t “ dapat dikodekan dalam ASCII menjadi :

1010011 1110100 1100001 1110010 1110100
S t a r t


PARITAS DALAM KODE

Sifat Paritas :
1. Paritas Genap
Jumlah angka 1 dalam word + paritas = genap
2. Paritas Ganjil
Jumlah angka 1 dalam word + paritas = ganjil

Jenis Paritas :
1. Paritas Bit
Menambahkan 1 bit
2. Paritas Word
Menambahkan 1 word / kumpulan bit
Contoh :
1 0 1 0 1 0
1 1 1 0 0 0
1 0 1 1 1 1
1 1 1 1 1 0
0 1 0 0 0 0
1 0 1 1 0 0




TUGAS 2

 Kodekan bilangan berikut ini :
1. (193) 10 = ( ... ) 7 4 2 1
2. (456) 10 = ( ... ) 2* 4 2 1

3. (100101001110) biner = ( ... ) gray
(110111101001) gray = ( ... ) biner

4. PP - TI - Uksw = ( ... ) ascii

 Data-data di samping merupakan pesan yang akan dikirim. Pada rangkaian data tersebut sudah termasuk paritas bit dan paritas word. Dengan menggunakan paritas ganjil, periksalah apakah ada kesalahan atau tidak pada data tersebut. Jika ada tunjukkan data mana yang salah dan bagaimana data yang seharusnya dikirim.

ಬಿಳನ್ಗನ್ biner

BAB I
DASAR KOMPUTER DIGITAL

Bagian dasar dari Komputer digital :
- Input = Keyboard
- Control = Control Circuit
- Memory = Memory, Storage
- Aritmetic Logic Unit
o Addition = Penjumlahan
o Subtraction = Pengurangan
o Division = Pembagian
o Multiplication = Perkalian
- Output = Monitor, Printer

SISTEM DIGITAL

• Komputer digital bekerja dengan menggunakan sinyal yang berbentuk pulsa.
• Kondisi dimana ada pulsa / arus yang mengalir ON : ‘1’
• Kondisi dimana tidak ada pulsa / arus yang mengalir OFF : ‘0’
• Jadi komputer digital hanya mengenal dua macam sandi, yaitu : ‘1’ atau ‘0’, sehingga dalam perhitungannyapun hanya mengenal 2 bilangan, yaitu ‘0’ dan ‘1’. Sistem bilangan yang hanya terdiri dari 2 simbol bilangan 0 dan 1 disebut Sistem Biner.

SISTEM BILANGAN

• Sistem bilangan yang biasa digunakan adalah sistem bilangan desimal atau denary yaitu sistem bilangan dengan basis sepuluh mempunyai 10 simbol : 0, 1, 2, … , 9.
• Elektronik digital biasanya menggunakan sistem biner yaitu sistem bilangan berbasis 2 yang mempunyai simbol 0 dan 1.
• Sistem bilangan yang lain :
o Bilangan Oktal dengan basis 8 : 0, 1, 2, ... , 7.
o Bilangan Hexa dengan basis 16 : 0, 1, 2, ..9, A, B, C, D, E, F.

SISTEM BILANGAN DESIMAL
Sistem bilangan yang terdiri dari 10 simbol yang berbeda yaitu angka 0 – 9, yang dikenal dengan sistem berbasis 10.

Sistem desimal misalnya :
625 = 6 ratusan + 2 puluhan + 5 satuan
625 = 6 X 102 + 2 X 101 + 5 X 100

Rumus Umum : N = dnRn + ... + d2R2 + d1R1 + d0R0
dn = Blangan pada posisi ke n
R = Radix bilangan
n = Urutan bilangan

Sehingga untuk bilangan :
1257 = 1 X 103 + 2 X 102 + 5 X 101+ 7 X 100
n = 1257; d3 = 1; d2 = 2; d1 = 5; d0 = 7
SISTEM BILANGAN BINER

Suatu sistem bilangan yang hanya mempunyai angka / bilangan 0 dan 1.

Rumus Umum : N = dn2n + ... + d323 + d222 + d121 + d020
Contoh : (1101) 2 = 1 X 23 + 1 X 22 + 0 X 21 + 1 X 20
= 8 + 4 + 0 + 1
= (13) 10

• Konversi Biner Ke Desimal
Dilakukan dengan cara menjumlahkan nilai-nilai dari bilangan yang berharga 1.
Contoh :
(10110) 2 = 1 X 24 + 0 X 23 + 1 X 22 + 1 X 21 + 0 X 20
= 16 + 0 + 4 + 2 + 0
= (22) 10

(110111) 2 = 1 X 25 + 1 X 24 + 0 X 23 + 1 X 22 + 1 X 21 + 1 X 20
= 32 + 16 + 0 + 4 + 2 + 1
= (55) 10

• Konversi Desimal Ke Biner
- Dilakukan dengan cara membagi bilangan desimal tersebut dengan angka 2
- Bilangan desimal dibagi 2
Contoh : (26) 10 = (11010) 2

26 = 13 + 0 LSB (Least Significant Bit)
2 Bit paling kanan
13 = 6 + 1
2
6 = 3 + 0
2
3 = 1 + 1
2
1 = 0 + 1 MSB (Most Significant Bit)
2 Bit paling kiri

Sisa terakhir sebagai Most Significant Bit (MSB) dan sisa pertama sebagai Least Significant Bit (LSB).

SISTEM BILANGAN OCTAL

Suatu sistem bilangan berbasis 8, mempunyai angka / bilangan 0, 1, 2, ... 7.

N = dn8n + ... + d383 + d282 + d181 + d080


• Tabel Octal Ke Biner :

Desimal Biner
0 0 0 0
1 0 0 1
2 0 1 0
3 0 1 1
4 1 0 0
5 1 0 1
6 1 1 0
7 1 1 1


• Konversi Octal Ke Desimal

(13273) 8 = 1 X 84 + 3 X 83 + 2 X 82 + 7 X 81 + 3 X 80
= 4096 + 1536 + 128 + 56 + 3
= (5819) 10

• Konversi Desimal Ke Octal

Bagi bilangan berturut – turut dengan 8
Contoh : (5819) 10 = ( ... ) 8

(5819) 10 = (33273) 8

5819 = 727 + 3
8
727 = 90 + 7
8
90 = 11 + 2
8
11 = 1 + 3
8
1 = 0 + 1
8

SISTEM BILANGAN HEXADESIMAL

Suatu sistem bilangan berbasis 16, mempunyai angka / bilangan 0, 1, 2, ... F.

N = dn16n + ... + d3163 + d2162 + d1161 + d0160


• Tabel Hexadesimal Dalam Biner :

Desimal Biner Hexa
0 0 0 0 0 0
1 0 0 0 1 1
2 0 0 1 0 2
3 0 0 1 1 3
4 0 1 0 0 4
5 0 1 0 1 5
6 0 1 1 0 6
7 0 1 1 1 7
8 1 0 0 0 8
9 1 0 0 1 9
10 1 0 1 0 A
11 1 0 1 1 B
12 1 1 0 0 C
13 1 1 0 1 D
14 1 1 1 0 E
15 1 1 1 1 F

• Konversi Desimal Ke Hexadesimal

(27) 10 = (27) 10 = (1B) 16


• Konversi Hexadesimal Ke Desimal

(152B) 16 = 1 x 163 + 5 x 162 + 2 x 161 + 11 x 160
= 1 x 4096 + 5 x 256 + 2 x 16 + 11 x 1
= 4096 + 1280 + 32 + 11
= (5419) 10


SISTEM KONVERSI BILANGAN SELAIN DESIMAL

• Konversi Biner Ke Octal

- Kelompokkan setiap 3 digit dari bilangan biner mulai paling kanan.
- Setiap kelompok diubah ke octal.

(1110011001) 2 = ( 1 6 3 1 ) 8

001 110 011 001

1 6 3 1


• Konversi Octal Ke Biner
Setiap digit dalam bilangan octal disajikan dalam 3 digit bilangan biner.

(32517) 8 = (011 010 101 001 111) 2
3 = 011
2 = 010
5 = 101
1 = 001
7 = 111

• Konversi Biner Ke Hexa

- Kelompokan setiap 4 digit dari bilangan biner mulai paling kanan.
- Setiap kelompok diubah ke hexa.


(100111101011100) 2 = ( 4 F 5 C ) 16

0100 1111 0101 1100

4 F 5 C

• Konversi Hexa Ke Biner

Setiap digit dalam bilangan hexa disajikan dalam 4 digit bilangan biner.

Contoh : (2A5C) 16  2 = 0010
A = 0010
5 = 0101
C = 1100

(2A5C) 16 = ( 0010 0010 0101 1100 ) 2

• Konversi Dari Octal Ke Hexa

- Dari Octal konversikan ke biner
- Dari biner konversikan ke hexa

527(8) = 101 010 111 (2)
= 0001 0101 0111 (16)
= 1 5 7 (16)

• Konversi Hexadesimal Ke Octal

- Dari Hexa konversikan ke biner
- Dari biner konversikan ke octal

157(16) = 0001 0101 0111 (2)
= 101 010 111 (8)
= 5 2 7 (8)


SISTEM BILANGAN DESIMAL PECAHAN

Bilangan 0,5176 dibaca :
5 persepuluh; 1 perseratus; 7 perseribu; 6 persepuluhribu.

N = d1R-1 + ... + d2R-2 + d3R-3 + dnR-n

Jadi 0,5176 = 5 x 10-1 + 1 x 10-2 + 7 x 10-3 + 6 x 10-4

SISTEM BILANGAN BINER PECAHAN
Contoh :
0,1011 dibaca : 1 x 2-1 + 0 x 2-2 + 1 x 2-3 + 1 x 2-4
= 0,5 + 0,125 + 0,0625
= 0,687510

KONVERSI SISTEM BILANGAN DESIMAL PECAHAN KE BINER PECAHAN

• Kalikan dengan bilangan 2
• Ambil bilangan bulatnya
• Sisa dari bilangan bulat kalikan dengan 2 berulang – ulang sampai bilangan bulat pertama.

Contoh :
(0,6875)10 = (0,1011)2

0,6875 0,375 0,7500 0,5000
2 2 2 2
x x x x
1,3750 0,7500 1,5000 1,0000

1 0 1 1

KONVERSI BILANGAN BULAT & PECAHAN BINER KE DESIMAL
Masukkan dalam rumus umum untuk bilangan bulat dan untuk pecahan.
Contoh :
(111001,1011)2 = 1 X 25 + 1 X 24 + 1 X 23 + 0 X 22 + 0 X 21 + 1 X 20
+ 1 X 2-1 + 0 X 2-2 + 1 X 2-3 + 1 X 2-4
= 57,687510

KONVERSI BILANGAN BULAT & PECAHAN DESIMAL KE BINER

Cara Kerja :
• Bilangan bulat : kerjakan secara bilangan bulat (biner dibagi 2)
• Bilangan pecahan : kerjakan secara bilangan pecahan (biner dikali 2)



Contoh :

(274,1875)10 = ( ... )2

274 = 137 + 0 8 = 4 + 0 0,1875 0,3750 0,7500 0,5000
2 2 2 2 2 2
137 = 68 + 1 4 = 2 + 0 x x x x
2 2 0,3750 0,7500 1,5000 1,0000
68 = 34 + 0 2 = 1 + 0
2 2
34 = 17 + 0 1 = 0 + 1 0 0 1 1
2 2
17 = 8 + 1
2


LATIHAN

1. Ubah bilangan biner berikut ini ke bilangan desimal
a) 110 b) 1110 c) 10101 d) 101101 e) 111111
f) 111000 g) 11111101
2. Ubah bilangan desimal berikut ini ke bilangan biner
a) 5 b) 17 c) 42 d) 31 e) 47
3. Ubah bilangan Oktal berikut ini ke bilangan desimal
a) 32 b) 57 c) 213 d) 156
4. Ubah bilangan desimal berikut ini ke bilangan oktal
a) 28 b) 137 c) 351 d) 629
5. Ubah bilangan oktal berikut ini menjadi bilangan biner
a) 27 b) 210 c) 555 d) 6543
6. Ubah bilangan biner berikut ini ke bilangan oktal
a) 010 b) 110011 c) 1011001 d) 1010111000
7. Ubah bilangan hexadesimal ke bilangan biner
a) 2A b) 8D c) EF2 d) FFFF
8. Ubah bilangan biner ke bilangan hexadesimal
a) 11010110 b) 110010 c) 100101111111 d) 1110101100110101
9. Konversikan bilangan 3F116 ke bilangan Desimal
10. Konversikan bilangan CO916 ke bilangan Biner dan bilangan Oktal.
11. Konversikan bilangan (0,375) 10 ke bilangan Biner
12. Konversikan bilangan (110,011) 2 ke bilangan desimal.
13. Konversikan bilangan (212,375) 10 ke bilangan biner







JAWABAN

1. a) (110) 2 = 1 X 22 + 1 X 21 + 0 X 20
= 4 + 2 + 0
= (6) 10
b) (1110) 2 = 1 X 23 + 1 X 22 + 1 X 21 + 0 X 20
= 8 + 4 + 2 + 0
= (14) 10
c) (10101) 2 = 1 X 24 + 0 X 23 + 1 X 22 + 0 X 21 + 1 X 20
= 16 + 0 + 4 + 0 + 1
= (21) 10
d) (101101) 2 = 1 X 25 + 0 X 24 + 1 X 23 + 1 X 22 + 0 X 21 + 1 X 20
= 32 + 0 + 8 + 4 + 0 + 1
= (45) 10
e) (111111) 2 = 1 X 25 + 1 X 24 + 1 X 23 + 1 X 22 + 1 X 21 + 1 X 20
= 32 + 16 + 8 + 4 + 2 + 1
= (63) 10
f) (111000) 2 = 1 X 25 + 1 X 24 + 1 X 23 + 0 X 22 + 0 X 21 + 0 X 20
= 32 + 16 + 8 + 0 + 0 + 0
= (56) 10
g) (11111101) 2 = 1 X 27 +1 X 26 +1 X 25 + 1 X 24 + 1 X 23 + 1 X 22 + 0 X 21 +
1 X 20
= 128 + 64 + 32 + 16 + 8 + 4 + 0 + 1
= (253) 10


2. a) (5) 10 = (101) 2 LSB (Least Significant Bit)
 Bit paling kanan
5 = 2 + 1
2
2 = 1 + 0
2
1 = 0 + 1
2 MSB (Most Significant Bit)
b) (17) 10 = (10001) 2

17 = 8 + 1 LSB (Least Significant Bit)
2 Bit paling kanan
8 = 4 + 0
2
4 = 2 + 0
2
2 = 1 + 0
2
1 = 0 + 1 MSB (Most Significant Bit)
2






c) (42) 10 = (101010) 2

42 = 21 + 0 LSB (Least Significant Bit)
2 Bit paling kanan
21 = 10 + 1
2
10 = 5 + 0
2
5 = 2 + 1
2
2 = 1 + 0
2
1 = 0 + 1 MSB (Most Significant Bit)
2
d) (31) 10 = (11111) 2

31 = 15 + 1 LSB (Least Significant Bit)
2 Bit paling kanan
15 = 7 + 1
2
7 = 3 + 1
2
3 = 1 + 1
2
1 = 0 + 1 MSB (Most Significant Bit)
2
e) (47) 10 = (101111) 2

47 = 23 + 1 LSB (Least Significant Bit)
2 Bit paling kanan
23 = 11 + 1
2
11 = 5 + 1
2
5 = 2 + 1
2
2 = 1 + 0
2
1 = 0 + 1 MSB (Most Significant Bit)
2
3. a) (32) 8 = 3 X 81 + 2 X 80
= 24 + 2
= (26) 10
b) (57) 8 = 5 X 81 + 7 X 80
= 40 + 7
= (47) 10
c)(213) 8 = 2 X 82 + 1 X 81 + 3 X 80
= 128 + 8 + 3
= (139) 10
c)(156) 8 = 1 X 82 + 5 X 81 + 6 X 80
= 64 + 40 + 6
= (110) 10

4. a) (28) 10 = (34) 8

28 = 3 + 4
8
3 = 0 + 3
8

b) (137) 10 = (211) 8

137 = 17 + 1
8
17 = 2 + 1
8
2 = 0 + 2
8

c) (351) 10 = (337) 8

351 = 43 + 7
8
43 = 5 + 3
8
3 = 0 + 3
8
d) 629
d) (629) 10 = (665) 8

629 = 78 + 5
8
78 = 9 + 6
8
6 = 0 + 6
8

5. a) (27) 8 = (010 111) 2
2 = 010
7 = 111
b) (210) 8 = (010 001 000) 2
2 = 010
1 = 001
0 = 000
c) (555) 8 = (101 101 101) 2
5 = 101
d) (6543) 8 = (110 101 100 011) 2
6 = 110
5 = 101
4 = 100
3 = 011



6. a) (010) 2 = ( 2 ) 8

010

2
b) (110011) 2 = ( 6 3 ) 8

110 011

6 3
c) (1011001) 2 = ( 1 3 1) 8

001 011 001

1 3 1

d) (1010111000) 2 = ( 1 2 7 0) 8

001 010 111 000

1 2 7 0

7. a) (2A) 16  2 = 0010
A = 0010
(2A) 16 = ( 0010 0010 ) 2

b) (8D) 16  8 = 1000
D = 1101
(8D) 16 = ( 1000 1101 ) 2
c) (EF2) 16  E = 1110
F = 1111
2 = 0010
(EF2) 16 = ( 1110 1111 0010 ) 2
d) (FFFF) 16  F = 1111
(FFFF) 16 = ( 1111 1111 1111 1111 ) 2

8. a) (11010110) 2 = ( D 6) 16

1101 0110

D 6

b) (110010) 2 = ( 3 2 ) 16

0011 0010

3 2
c) (100101111111) 2 = ( 9 7 F ) 16

1001 0111 1111

9 7 F

d) (1110101100110101) 2 = ( E B 3 5 ) 16

1110 1011 0011 0101

E B 3 5


9. (3F1) 16 = 3 x 162 + 15 x 161 + 1 x 160
= 3 x 256 + 15 x 16 + 1 x 1
= 768 + 240 + 1
= (1009) 10
10. (C09)16 = 1100 0000 1001 (2)
= 110 000 001 001 (8)
= 6 0 1 1 (8)

11. (0,375)10 = (0,011)2

0,375 0,7500 0,5000
2 2 2
x x x
0,7500 1,5000 1,0000

0 1 1

12. (110,011)2 = 1 X 22 + 1 X 21 + 0 X 20
+ 0 X 2-1 + 1 X 2-2 + 1 X 2-3
= 4 + 2 + 0 + 0 + 0,25 + 0,125
= 6,37510

13. (212,375)10 = (11010100,011)2

212 = 106 + 0 6 = 3 + 0 0,3750 0,7500 0,5000
2 2 2 2 2
106 = 53 + 0 3 = 1 + 1 x x x
2 2 0,7500 1,5000 1,0000
53 = 26 + 1 1 = 0 + 1
2 2
26 = 13 + 0 0 1 1
2
13 = 6 + 1
2

BAB VIII
COUNTER
(PENCACAH)

• Sebuah Flip-flop akan mempunyai dua keadaan yaitu keadaan reset (Q = 0) dan set (Q = 1). Sehingga untuk sederetan n buah FF akan mempunyai 2 keadaan yang berbeda.
• Dalam penggunaannya sebagai pencacah pulsa, setiap satu keadaan (dari 2n keadaan) digunakan untuk menyatakan sudah berapa jumlah pulsa yang masuk pada pencacah. Dengan demikian hubungan antara FF yang satu dengan yang lain harus demikian rupa sehingga keadaannya akan berubah secara berurutan setiap kali ada pulsa masuk. Sehingga, kalau jumlah pulsa sudah mencapai harga tertentu, pencacah akan kembali ke keadaan awalnya.
• Suatu pencacah modulo-k adalah pencacah yang kembali ke keadaan mula-mula setelah k buah pulsa masuk.
Contoh pencacah 3 bit dengan 5 kondisi atau pulsa klok, pada pencacah ini akan terdiri dari 000, 001, 010, 011, 100. Perubahan dari nilai satu ke nilai berikutnya akan dilakukan pada 1 klok. Pada kondisi nilai terakhir 100 akan kembali ke nilai awalnya yaitu 000. Perubahan nilai ini akan terus berlanjut sampai adanya pemutusan atau penghentian sinyal klok.










Pulsa A B C A+ B+ C+
1 0 0 0 0 0 1
2 0 0 1 0 1 0
3 0 1 0 0 1 1
4 0 1 1 1 0 0
5 1 0 0 0 0 0

Kondisi awal A, B, C akan berubah menjadi A+, B+, C+ pada setiap 1 buah klok. Penjelasannya yaitu :
Pada pulsa 1 nilai 000 akan menjadi 001
Pada pulsa 2 nilai 001 akan menjadi 010
Seterusnya sampai pulsa kelima akan mengubah nilai 100 menjadi nilai awal 000.

• Karena setiap keadaan dari pencacah menyatakan jumlah dari pulsa yang masuk, sedang keadaan dari pencacah ditentukan oleh harga keluaran dari FF pembentuknya (Qa, Qb, Qc, …), maka akan lebih mudah kalau harga dari Qa, Qb, Qc, … sebagai bilangan biner digunakan untuk menyatakan jumlah yang masuk. (seperti tabel a).
• Pencacah modulo-16 disebut juga pencacah biner 4-bit. Pencacah modulo-8 disebut juga pencacah biner 3-bit. Pencacah modulo-10 disebut juga pencacah desimal (Decade Counter).


PENCACAH SINKRON

• Pada pencacah sinkron perubahan keluaran dari setiap FF terjadi secara serempak karena pulsa input yang akan dicacah dimasukkan pada masukan klok dari setiap FF, sehingga pulsa masukan berfungsi sebagai pulsa klok.
• Dengan demikian untuk menghubungkan FF yang satu dengan yang lain, hal yang perlu diperhatikan adalah bagaimana mengatur input dari setiap FF yang digunakan (SR, JK, D, T) agar perubahan keluarannya pada saat ada pulsa input masuk akan sesuai dengan tabel (a) : Tabel Pencacah

Jumlah Pulsa Modulo6 Modulo8 Modulo10 Modulo16
Qa Qb Qc Qa Qb Qc Qa Qb Qc Qd Qa Qb Qc Qd
0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
1 0 0 1 0 0 1 0 0 0 1 0 0 0 1
2 0 1 0 0 1 0 0 0 1 0 0 0 1 0
3 0 1 1 0 1 1 0 0 1 1 0 0 1 1
4 1 0 0 1 0 0 0 1 0 0 0 1 0 0
5 1 0 1 1 0 1 0 1 0 1 0 1 0 1
6 0 0 0 1 1 0 0 1 1 0 0 1 1 0
7 1 1 1 0 1 1 1 0 1 1 1
8 0 0 0 1 0 0 0 1 0 0 0
9 1 0 0 1 1 0 0 1
10 0 0 0 0 1 0 1 0
11 1 0 1 1
12 1 1 0 0
13 1 1 0 1
14 1 1 1 0
15 1 1 1 1
0 0 0 0

• Akan dibahas pencacah yang dibentuk dari J-K FF dan T-FF
• Tabel kebenaran dari J-K FF (b) dan T-FF (c).
Jn Kn Qn Qn+1 Tn Qn Qn+1
0 0 0 0 0 0 0
0 0 1 1 0 1 1
0 1 0 0 1 0 1
0 1 1 0 1 1 0
1 0 0 1 Tabel ( c )

1 0 1 1
1 1 0 1
1 1 1 0
Tabel (b)

• Dari tabel (b), terlihat bahwa agar keluaran dari J-K FF berubah 0 ke 0, harga Jn harus 0, sedang harga Kn boleh 0 atau 1 (baris 1 dan 3), demikian seterusnya sehingga bisa dibuat menjadi tabel transition list untuk J-K FF (tabel (d) dan T-FF (tabel (e)).

Qn Qn+1 Jn Kn
0  0 0 
0  1 1 
1  0  1
1  1  0
Tabel (d) Tabel (e)

Pencacah Sinkron Modulo-6

• Akan dirancang dengan menggunakan JK FF
• Pencacah modulo-6 memerlukan 3 FF sehingga keadaan atau hasil pencacahannya ditentukan oleh Qa Qb Qc.
• Dari tabel (a) terlihat:
Kalau mula-mula Qa Qb Qc = 000, setelah pulsa masuk berubah menjadi Qa Qb Qc = 001
Dengan demikian pada
Keadaan Qa Qb Qc = 000
Menurut tabel transition list tabel (d); pengaturan dari J dan K untuk masing-masing FF adalah :
FFA, Qa : 0  0 maka Ja = 0 , Ka = 
FFB, Qb : 0  0 maka Jb = 0, Kb = 
FFC, Qc : 0  1 maka Jc = 1, Kc = 
• Selanjutnya pada keadaan Qa Qb Qc = 001, yang berubah menjadi Qa Qb Qc = 010, setelah pulsa masuk, pengaturannya adalah:
FFA, Qa : 0  0 maka Ja = 0 , Ka = 
FFB, Qb : 0  1 maka Jb = 1, Kb = 
FFC, Qc : 1  0 maka Jc = , Kc = 1
• Demikian seterusnya, jika dikerjakan, hasil seluruhnya akan terlihat hasil yang merupakan tabel kebenaran dari Ja, Ka, Jb, Kb, Jc, Kc sebagai fungsi dari Qa, Qb, Qc.
• Untuk mendapatkan persamaan yang paling sederhana dapat digunakan peta karnaugh.

Qa Qb Qc Ja Ka Jb Kb Jc Kc
0
0 0 0  0  1 
0 0 1 0  1   1
0 1 0 0   0 1 
0 1 1 1   1  1
1 0 0  0 0  1 
1 0 1  1 0   1
1 1 0      
1 1 1      

• Dari pencacah modulo-6 di atas harga Qa, Qb, Qc tidak pernah 110 dan 111 sehingga harga j dan k diberi nilai x (don’t care = bisa 0 atau 1)
• Peta karnaugh pencacah modulo-6:
QaQb
Qc QaQb
Qc
00 01 11 10 00 01 11 10
0 0 2
 6  4 0
 0  2  6 4
1 1 1 3  7  5 1  1  3  7 1 5
Ja = Qb.Qc Ka = Qc

QaQb
Qc QaQb
Qc
00 01 11 10 00 01 11 10
0 0
 2  6 4 0
 0 2  6  4
1 1 1  3  7 5 1  1 1 3  7  5
Jb = Qa.Qc
Kb = Qc

Untuk Jc dan Kc dari tabel kebenaran dapat dilihat bahwa jika  diganti 1 maka :
Jc = Kc = 1

• Rangkaian dari pencacah sinkron modulo-6 adalah:












Pencacah Sinkron modulo-6 dengan T FF

• Pada tabel (e) dapat dilihat tabel transisi dari T FF, keluarannya tidak akan berubah kalau T = 0, sedang kalau T = 1 keluarannya akan berubah setiap ada pulsa masuk (0 ke 1 atau 1 ke 0), atas dasar ini, pengaturan perubahan keluarannya agar sesuai dengan tabel pencacahannya, dapat dilakukan dengan 2 cara :

1. Harga T dari masing FF untuk setiap harga Qa Qb Qc (ada 3 FF) diatur sedemikian rupa sehingga perubahan keluarannya sesuai dengan tabel pencacahan, atau dengan kata lain T merupakan fungsi Qa Qb Qc.
2. Input T dihubungkan ke Vcc sehingga selalu mempunyai harga 1. Sedangkan pengaturan perubahan keluarannya dilakukan dengan meneruskan pulsa input ke input klok kalau keluarannya harus berubah dan tidak meneruskan kalau keluarannya harus tidak berubah. Hal ini dapat dikerjakan dengan menambahkan gerbang AND sebelum masuk ke input klok seperti berikut ini:






• Tabel Kebenaran Pencacah Sinkron Modulo – 6 dari T FF
Qa Qb Qc Ta Tb Tc
0 0 0 0 0 1
0 0 1 0 1 1
0 1 0 0 0 1
0 1 1 1 1 1
1 0 0 0 0 1
1 0 1 1 0 1
1 1 0   
1 1 1   

• Peta Karnaugh
QaQb
Qc QaQb
Qc
00 01 11 10 00 01 11 10
0 0 2
 6 4 0 0 2  6 4
1 1 1 3  7 1 5 1 1 1 1 3  7 5
TA=Qa.Qc + Qb.Qc TB = QA.QC



• Persamaan : TA = Qa Qc + Qb Qc
TB = Qa Qc
TC = 1
• Rangkaian pencacah Sinkron modulo – 6 dari T FF
TA = Qa . Qc + Qb . Qc
TB = Qa . Qc
Tc = 1













• Untuk cara yang kedua, berarti harga p untuk FF harus diatur :
P=1 kalau Outputnya harus berubah, dan P=0 kalau Outputnya tetap

• Dengan demikian tabel kebenarannya adalah:
Qa Qb Qc Pa Pb Pc
0 0 0 0 0 1
0 0 1 0 1 1
0 1 0 0 0 1
0 1 1 1 1 1
1 0 0 0 0 1
1 0 1 1 0 1
1 1 0   
1 1 1   

• Peta Karnaugh
QaQb
Qc QaQb
Qc
00 01 11 10 00 01 11 10
0 0 2
 6 4 0 0 2  6 4
1 1 1 3  7 1 5 1 1 1 1 3  7 5
PA=Qa.Qc + Qb.Qc PB = QA.QC

• Persamaan
PA = Qa . Qc + Qb . Qc
PB = Qa. Qc
PC = 1
• Rangkaiannya











Pencacah Tak Sinkron Modulo K, dengan K=2n

• Dapat dilihat dari tabel, bahwa jika Qc berubah dari 1 ke 0 ternyata Qb berubah, maka FFC dapat menjadi pemicu FFB. Begitu juga jika Qb berubah dari 1 ke 0 maka Qa berubah
• Dengan demikian jika :
 Qc dihubungkan ke CKb, harga Tb dapat dihubungkan ke harga 1
 Qb dihubungkan ke CKa, harga Ta dapat dihubungkan ke harga 1
• Sehingga rangkaian pencacah tak Sinkron modulo-K dimana K=2n dapat dibentuk dari n buah FF. Pencacah ini sering disebut Ripple Counter
• Rangkaian pencacah tak Sinkron modulo-8 dengan 3 FF:








• Untuk pencacah tak Sinkron modulo- 4(22), modulo-16 (24); dapat dibuat dengan cara yang sama, hanya menyesuaikan jumlah FF-nya saja.

Pencacah tak Sinkron Modulo-K, dimana K 2n ,dan K = genap.

• Pulsa klok dari suatu FF tidak selalu dapat diperoleh dari FF sebelumnya.
• Contoh : Pencacah Modulo-6
 Pada perubahan dari Qa Qb Qc 101 menjadi 000, oleh karena Qa harus berubah dari 1 ke 0 sedang Qb tetap, maka Qb tidak dapat digunakan sebagai pulsa dari FFA.
 Dengan demikian yang dapat digunakan sebagai pulsa klok dari FFA dan FFB adalah Qc.
 Hanya oleh karena untuk setiap Qc berubah dari 1 ke 0, Qb dan Qa tidak selalu berubah, maka harga T atau J dan K tidak boleh selalu berharga 1, tetapi harus diatur seperti pada pencacah sinkron.
 Untuk master-Slave FF perubahan pulsa klok dari 0 ke 1 tidak merubah keadaan Output sehingga pada saat Qc (sebagai pulsa klok) berubah dari 0 ke 1, harga dari T atau J dan K dari FFA dan FFB diisi seimbang ().
 Dengan demikian tabel kebenaran J dan K sebagai fungsi Qa Qb Qc, untuk pencacah tak Sinkron modulo-6, adalah sebagai berikut:

Qa Qb Qc Ja Ka Jb Kb Jc Kc
0 0 0     1 
0 0 1 0  1   1
0 1 0     1 
0 1 1 1   1  1
1 0 0     1 
1 0 1  1 0   1
1 1 0      
1 1 1      




QaQb
Qc QaQb
Qc

00
01 11 10 00 01 11 10
0  0  2  6  4 0  0  2  6  4
1 1 1 3  7  5 1  1  3  7 1 5
Ja = Qb Ka = 1



QaQb
Qc
QaQb
Qc
00 01 11 10 00 01 11 10
0  0  2  6  4 0
 0  2  6  4
1 1 1  3  7 5 1  1 1 3  7  5
Jb = Qa
Kb = 1

 Jadi persamaannya
Ja = Qb Jb = Qa Jc = 1
Ka = 1 Kb = 1 Kc = 1

 Rangkaiannya










Pencacah Tak Sinkron Modulo-K, dimana K  2n dan K = Ganjil

1. Cara yang dipakai untuk pencacah tak sinkron modulo-K, K2n dan K=Genap, tidak dapat digunakan untuk K=Ganjil.
2. Untuk itu digunakan input preset atau clear pada setiap JK FF atau T FF. Caranya adalah sebagai berikut :
1. Menggunakan preset
a. Tentukan jumlah FF (n) dengan persamaan 2 n-1 < K < 2n
b. Hubungkan FF sebagai Ripple Counter.
c. Hubungkan Output dari FF yang harganya ‘1’ pada saat hasil pencacahan (k-1), ke sebuah gerbang NAND. Masukkan juga pulsa input ke gerbang NAND ini.
d. Hubungkan output gerbang NAND ke input preset dari FF yang berharga Output ‘0’ pada saat hasil pencacah = (k-1).
2. Menggunakan Clear
a. & b. Sama dengan preset.
c. Hubungkan Output dari FF yang harganya ‘1’ pada saat hasil pencacah = K, ke sebuah gerbang NAND.
d. Hubungkan output gerbang NAND ke input clear dari setiap FF.





Contoh : Pencacah tak Sinkron modulo-7

1. Mengunakan Preset
Qa Qb Qc
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1

• Pada saat pencacah mencapai harga 6 maka Qa Qb Qc = 110 maka :
 Qa dan Qb dihubungkan ke gerbang NAND
 Output gerbang NAND dihubungkan ke output FFC=’0’ pada input presetnya.
 Setelah Qa Qb Qc = 110, pulsa naik dari 0 ke 1, Pr=0, Qa Qb Qc = 111, setelah pulsa =0, maka Qa Qb Qc = 000
• Rangkaiannya:














2. Menggunakan Clear















Pada saat pencacahan K  Qa Qb Qc = 111, maka :
 Input gerbang NAND dari Qa, Qb, dan Qc
 Output gerbang NAND ke Input Clear dari FFA, FFB, FFC .



PENCACAH NAIK/TURUN (UP / DOWN COUNTER)

• Ada 2 cara untuk membentuk up/down counter :
 Cara pertama
Selain saluran input ditambahkan juga saluran pengatur Z (Down/Up) yang menjadikan pencacah turun kalau Z = 1 dan naik kalau Z = 0.
 Cara kedua
Mengunakan 2 buah saluran pulsa input, di mana yang satu untuk pencacah naik dan yang lain untuk pencacah turun.

Cara Pertama

• Pada cara ini, pulsa input dimasukkan ke CK dari setiap FF, sedang T atau J-K dari setiap FF diatur sedemikian, sehingga perubahan Outputnya sesuai dengan tabel pencacah naik/turun.
• Contoh: Pencacah naik/turun modulo-6 dari TFF.
Mula-mula: Qa Qb Qc = 000
Apabila Z = 0 (naik), maka setelah ada pulsa klok outputnya berubah menjadi 001, sehingga perlu diatur agar Ta = 0, Tb = 0, Tc = 1.
Apabila Z = 1 (turun), maka setelah terjadi pulsa klok outputnya berubah menjadi 101 maka Ta = 1, Tb = 0, Tc = 1.


Jika diteruskan, maka tabel kebenarannya:
Naik Turun Ta Tb Tc
Qa Qb Qc Qa Qb Qc Z=0 Z=1 Z=0 Z=1 Z=0 Z=1
0 0 0 0 0 0 0 1 0 0 1 1
0 0 1 1 0 1 0 0 1 0 1 1
0 1 0 1 0 0 0 1 0 1 1 1
0 1 1 0 1 1 1 0 1 0 1 1
1 0 0 0 1 0 0 0 0 1 1 1
1 0 1 0 0 1 1 0 0 0 1 1
1 1 0      
1 1 1      


• Peta Karnaughnya :

QaQb
Qc QaQb
Qc
00 01 11 10 00 01 11 10
0 0 2  6 4 0 1 0 1 2  6 4
1 1 1 3  7 1 5 1 1 3  7 5
= Qa.Qc + Qb.Qc = Qa.Qc

QaQb
Qc QaQb
Qc
00 01 11 10 00 01 11 10
0 0 2  6 4 0 0 1 2  6 1 4
1 1 1 1 3  7 5 1 1 3  7 5
= Qa.Qc = Qa.Qc + Qb.Qc

Jadi persamaan

Ta = Z (Qa.Qc + Qb.Qc) + Z’(Qa.Qc)
Tb = Z (Qa.Qc) + Z’ (Qa.Qc+Qb.Qc)
Tc = 1
• Rangkaian pencacah naik / turun untuk modulo-6:














Cara Kedua

• Pada cara ini, input T atau J = K dihubungkan ke harga ‘1’, sedang pengaturan outputnya dilakukan dengan cara meneruskan pulsa input ke input klok. Kalau dikehendaki ada perubahan, dan tidak meneruskan kalau dikehendaki Outputnya tidak berubah.
• Karena input pulsanya ada 2 saluran untuk naik dan turun, maka pengatur (P) nya juga ada dua (2)








• Bila P naik/turun=1, maka pulsa input naik/turun diteruskan.
• Bila P naik/turun=0, maka pulsa input tidak diteruskan.
• Tabel kebenaran P naik/turun sebagai fungsi Qa Qb Qc sama dengan tabel kebenaran pencacah naik / turun sebelumnya.

• Persamaannya

Pa turun = Z (Qb.Qc + Qa.Qc)
Pa naik = Z’ (Qa.Qc)

Pb turun = Z (Qa.Qc)
Pb naik = Z (Qb.Qc + Qa.Qc)

Pc turun
Pc naik


PENCACAH MELINGKAR ( RING COUNTER )

 Counter atau pencacah adalah rangkaian logika yang dapat dibangun dari satu atau beberapa FF.
 Counter digunakan untuk mengontrol urutan kerja dalam digital komputer, maupun yang digunakan dalam penerapan teknik digit pada bidang industri.
 Salah satu fungsi counter yang penting adalah sebagai penghitung pulsa-pulsa yang masuk dan mencacah (membagi) pulsa-pulsa sesuai yang diinginkan, atau lebih dikenal sebagai pembagi frekuensi.
 Ring Counter atau pencacah melingkar adalah counter yang erat hubungannya dengan prinsip kerja suatu register geser yang dijalankan melingkar.



A B C D
Clock


Kondisi awal 0 0 0 1 1 Sinyal Clock Kondisi FF
Setelah Clock - 1 1 0 0 0 2 A B C D
Setelah Clock - 2 0 1 0 0 3 Kondisi awal 0 0 0 1
Setelah Clock - 3 0 0 1 0 4 1 1 0 0 0
Setelah Clock - 4 0 0 0 1 5 2 0 1 0 0
3 0 0 1 0
4 0 0 0 1
5 Kembali seperti clock no. 1,2 … dst-nya

















 Ring Counter yang terjadi terdiri dari 4 FF ini akan berfungsi sebagai “Pembagi empat”

LATIHAN

1. Pencacah Sinkron Modulo-8, Modulo-10, Modulo-16 dari JK FF dan T FF
2. Pencacah tak Sinkron Modulo-4, modulo-16 dengan T FF
3. Pencacah tak Sinkron Modulo-5 dengan TFF.
4. Pencacah tak Sinkron Modulo-10 dengan TFF mengunakan input Preset dan Clear.
5. Pencacah naik/turun Modulo-16 dan T FF.
6. Sebuah Modulo-6 Counter yang mempunyai urutan kerja :
000  010  001  101  110  011

7. Rancanglah “Ring – Counter” dengan kondisi awal : 1 1 0 1 1.
Serta gambarkan diagram waktunya.


Blogspot Template by Isnaini Dot Com. Powered by Blogger and Supported by ArchitecturesDesign.Com Beautiful Architecture Homes